库存清单21
来源:    发布时间: 2019-11-04 12:48   11401 次浏览   大小:  16px  14px  12px

 

P/N /Description Qty
Opal 30619035100 Power Supply Module H40554 AMAT Applied Materials VeraSEM Used 1
Anorad Power Assembly MAP55-4003 AMAT Applied Materials VeraSEM Used 1
Opal 70417890100 SMC-Micro Board PCB Card AMAT Applied Materials VeraSEM Used 1
Nemic-Lambda YM-98-774 power Supply Card RWS30A-5/A AMAT VeraSEM used Working 1
CTI-Cryogenics 8116081G006 On-Board 8F Cryopump w/ACM Used Working 1
Opal 30612640100 ASA Assembly AMAT Applied Materials VeraSEM Used Working 1
VAT 15040-PA24-0002 Pneumatic Gate Valve Used Working 1
Bertan 605C-100P Power Supply HVPS Extractor Opal 70312828000 AMAT VeraSEM Used 1
Opal 30612630000 Delta_V Assembly AMAT Apllied Materials VeraSEM Used Working 1
Opal 70312980300 H.V. Controller Board PCB AMAT Applied Materials VeraSEM Used 1
Opal 70312970000 Analog Control Board PCB AMAT Applied Materials VeraSEM Used 1
Opal 70312891000 L.V. Power Supply Board PCB AMAT Applied Materials VeraSEM Used 1
Bertan PMT-20CN-1 High Voltage Power Supply AMAT 70312822000 VeraSEM Used 1
Bertan PMT-50CP-1 High Voltage Power Supply AMAT 70312829000 VeraSEM Used 1
Bertan PMT-50CP-1 High Voltage power Supply AMAT 70312823000 VeraSEM Used 1
Panasonic MQMZ022A291 Servo Motor Assembly AMAT Applied Materials VeraSEM Used 1
Panasonic MQMZ022A291 Servo Motor Drive Assembly AMAT VeraSEM Used Working 1
KLA Instruments 710-657600-20 Autofocus Control PCB Card 2132 used Working 1
KLA Instruments 710-650879-20 Dual Stepper Driver PCB Robot-2 Cassette 2132 Used 1
KLA 710-650879-20 Dual Stepper Driver PCB Shoulder Effector 2132 used Working 1
KLA 710-650879-20 Dual Stepper Driver PCB Card Turret N/Z/F 2132 Used Working 2
KLA Instruments 710-650879-20 Dual Stepper Driver PCB Card Theta Spare 2132 Used 2
KLA Instruments 710-662033-00 Wafer Inspector Backplane PCB Card 2132 Used 1
Bay Pneumatic BES4326 8 Port Manifold KLA Instruments 740-654246-00 2132 Used 1
ELPAC Power Systems BFS 500-24 Transformer Capacitor Assembly KLA 2132 Used 1
ELPAC Power Systems BFS 500-48 Transformer Capacitor Assembly KLA 2132 Used 1
Power-One F5-25/OVP-A Power Supply +5V PLLAD P.S. KLA Instruments 2132 Used 2
Power-One F5-25/OVP-A Power Supply +5V PLLAD P.S. KLA Instruments 2132 Used 2
Power-One HDD15-5-A Power Supply ±15V P.S KLA Instruments 2132 Used 1
Power-One HDD15-5-A Power Supply ±15V P.S KLA Instruments 2132 Used 2
Opal 70412310310 Internal Power Distribution Unit PDU Box AMAT VeraSEM Used 1
Pneutal SCP-160-A-1 Pneumatic Air Tank Used Working 1
Copley Controls 800-494 Servo Drive Used Working 1
CMC Cleveland Motion Controls BMR2005TCG00CEA002 PM Servo Motor Used Working 1
VAT 24324-KA41-0001 Pneumatic Angle Valve AMAT VeraSEM Used Working 2
MKS Instruments 100991588 Pneumatic Angle Valve Used Working 1
Phoenix Mecano VIF/4-24-PO-115-OPAL Power Supply Module Used Working 1
Oram 17000331 Power Supply Module PS5 AMAT Applied Materials VeraSEM Used 1
Oram 17000330 Power Supply Module +5V PS3 AMAT Applied Materials VeraSEM Used 1
Oram 17000330 Power Supply Module +8V AMAT Applied Materials VeraSEM Used 1
Oram 17000330 Power Supply Module +15V -15V AMAT Applied Materials VeraSEM Used 1
Oram 17000330 Power Supply Module +24V -24V AMAT Applied Materials VeraSEM Used 1
AMAT Applied Materials Pick and Place Robot ITU Assembly VeraSEM Used Working 1
Sony UP-890CE Video Graphic Printer B&W Analog Used Working 2
KLA-Tencor 720-14679-000 XP Objective Lens 0110155-000 Untested As-Is 1
TEL Tokyo Electron 200mm Wafer Transport Stepper Interface ACT12 Used Working 2
Astec 080-25862-1817 Power Supply Powertec 9R5-600-381-2-51817 Used Working 1
Nemic-Lambda NNS15-5 Linear Power Supply Used Working 3
Phasetronics P1050-X2-60 Power Control System Used Working 1
Precise Sensors 3223-30-01-G-4SF-P1-Q2833 Ultra High Purity Transducer New 9
Nemic-Lambda NNS15-15 Power Supply Used Working 5
Lambda Elecronics LSS-37-12 Compact Power Supply Used Working 1
Panasonic MSM152A2D AC Servo Motor Used Working 1
Nemic-Lambda NNS30-5 Power Supply Used Working 2
Nemic-Lambda NNS30-5 Power Supply Used Working 6
Nemic-Lambda EWS300-5 Power Supply EWS300 Used Working 1
Nemic-Lambda EWS25-12 DC Power Supply EWS25-5 EWS15-12 EWS15-5 Lot of 9 Used 1
Nemic-Lambda EWS50-24 DC Power Supply EWS50-12 Lot of 4 Used Working 1
TDK RKW24-6R5 Power Supply RKW24-6R5C Lot of 2 Used Working 1
Densi-Lambda JWS150-3/A Power Supply Lot of 2 Used Working 1
Power-One SPM5F2F2KCS146 Switching Power Supply 460-0022-000 Used Working 1
HPS Vacuum Products NW25 Wing Nut Clamp KF25 Lot of 25 MKS Edwards Nor-Cal Used 4
HPS Vacuum Products NW25 Wing Nut Clamp KF25 Lot of 25 MKS Edwards Nor-Cal Used 8
HPS Vacuum Products NW16 Wing Nut Clamp KF16 Lot of 25 MKS Edwards Nor-Cal Used 2
HPS Vacuum Products NW16 Wing Nut Clamp KF16 Lot of 25 MKS Edwards Nor-Cal Used 6
Varian C-H1801001 Driver Amplifier 8-Pin PCB Assembly H1801001 C-H1802001 Used 2
Varian C-F5216001 Beam Scan Controller PCB Assembly F5216001 C-F5217001 Used 1
Varian D-H1777001 Scanner Pre-Amp PCB Assembly H1777001 D-H1778001 Used Working 2
Edwards W655-21-611 Barocel Pressure Sensor Transducer 10 Torr Used Tested 1
Varian D12004063 AC to DC Power Module PCB Assembly D12004065 Used Working 1
Edwards C5469 High Vacuum Tube Adaptor ISO100 to ISO160 NW25 Used Working 2
MKS Instruments High Vacuum Flex Bellows ISO100 4VCR Port Tee HPS Used Working 2
Millipore SureView DR Display Module AMAT 0940-00021 Lot of 10 Used Working 1
Granville-Phillips 275262 Convection Pirani Vacuum Gauge 275 Used Working 1
Granville-Phillips 275262 Convection Pirani Vacuum Gauge 275 Used Working 1
Edwards High Vacuum Adaptor Tube ISO63 ISO-K to NW50 50mm Used Working 5
Edwards High Vacuum Adaptor Tube ISO63 ISO-K to NW50 46mm Used Working 2
MKS Instruments Conical Reducer NW50 to NW40 Lot of 6 HPS Nor-Cal Used Working 1
Mykrolis CBUG3L0P1 Optimizer DI-L Disposable Filter AMAT 4020-00008 New 28
Edwards High Vacuum Conical Reducer Tee ISO40 ISO-F to ISO100 ISO-F NW25 Used 7
MKS Instruments High Vacuum Tube Tee ISO100 ISO-K NW16 5.5" HPS Used Working 1
MKS Instruments Conical Reducer Tee ISO63 to ISO80 ISO-K 4VCR and NW25 Used 2
Swagelok NXT-DRP81ANNENE-C High Purity DRP Radial Diphragm Valve New 4
Turck JBBS-57-E811 8-Port DeviceNet Hub InterlinkBT Reseller Lot of 4 New 1
Swagelok PFA4-8-FTF-61-3 PFA Bulkhead Union with PFA Lot of 10 New 5
Carten HF UNIPLEX Ultra-High Purity High Flow Bellows Manual Valve New 1
Mykrolis CBUG3L0P1 Optimizer DI-L Disposable Filter AMAT 4020-00008 Lot of 2 New 1
Edwards A52855000 Shaft Seal Purge Panel QDP Series Vacuum Pump Used Working 2
VAT 217300 Series 10 Pneumatic Gate Valve Actuator Used Working 1
Nor-Cal 99B1554-C High Vacuum Tube Tee Stainless Steel NW50 Used Working 1
Qualiflow 2x10-9atm.cm3/Sec 4-Way Pneumatic Valve Reseller Lot of 4 Used Working 1
Qualiflow 2x10-9atm.cm3/Sec 4-Way Pneumatic Valve F HF Series Lot of 2 Used Work 1
Qualiflow 2x10-9atm.cm3/Sec 3-Way Pneumatic Valve Reseller Lot of 3 Used Working 2
Irvine Optical 015-0851-01 4-Slot Motherboard Bcakplane PCB Board Used Working 1
Koganel F-DAVP125-3W Pneumatic Valve Assembly Lot of 2 Used Working 1
Nadatech 1000-00804 End Effector Narrow Scanning w/PTFE Pad Used 1
Fujitsu Denso KS14-7799-H924 PM4 Power Supply PCB MP-M Y KS350-3913-H634 Used 1
Fujitsu Denso KS14-7799-H922 PM2 Power Supply PCB MP-M Y KS350-3913-H634 Used 1
Fujitsu Denso KS14-7799-H921 PM1 Power Supply PCB MP-M Y KS350-3913-H634 Used 1
Fujitsu Denso KS14-7794-H921 Power Supply PCB HV MP-M KS350-3104-H469 Used 1
Fujitsu Denso KS14-7792-H921 Power Supply PCB 3P RECT KS350-3104-H467 Used 1
Fujitsu Denso KS14-7793-H921 Power Supply PCB 1P RECT KS350-3104-H468 Used 1
Fujitsu Denso KS14-7799-H923 PM3 Power Supply PCB MP-M Y KS350-3913-H634 Used 1
Fujitsu Denso KS14-7796-H921 Relay Display PCB LED MP-M KS350-3204-H538 Used 1
Fujitsu Denso KS14-7795-H921 CONT MP-M Y PCB Board KS350-3104-H470 Used Working 1
Fujitsu Denso KS14-7798-H921 RT-A MB PCB Board KS350-3913-H633 Used Working 1
Inficon 399-001 Vacuum Switch VSA100A TEL 3D86-000485-V4 Used Working 3
MKS Instruments Conical Reducer Stainless Steel ISO100 to ISO80 ISO-K Used 1
Leybold 15730 Thermovac Pirani Transmitter TTR211S Used Working 1
MDC Vacuum Products High Vacuum Conical Adapter ASA 1 to NWS Used Working 2
MKS Instruments Conical Reducer Tube Stainless Steel ISO100 to NW50 25.125" Used 1
Edwards High Vacuum Adaptor Tube Tee ISO160 to ISO100 Dual NW25 With Elbow Used 1
Edwards High Vacuum 4-Way Cross DN40CF CF70 Rotatable to Fixed Flange HPS Used 1
Edwards Conical Reducer Tee ISO80 to ISO63 ISO-K 4VCR and NW25 Copper Used 1
Edwards High Vacuum Tube Tee NW50 to NW50 NW25 iQDP Series Used Working 6
Edwards High Vacuum Tube Tee NW50 to NW50 NW25 iQDP Series Used Working 2
Edwards High Vacuum Adapter Tube Tee ISO160 to ISO100 Dual NW25 90° Elbow Used 2
Edwards High Vacuum Conical Reducer Tee ISO63 ISO-F to NW50 NW25 Used Working 2
Edwards NRY1V9521 Adapter Tube Tee ISO63 ISO-K to NW50 NW25 Copper Exposed Used 1
Edwards E21909516 iQDP Power Cable Head Lot of 4 iQDP40 iQDP80 Used Working 21
Edwards U20001189 IGX Series Vacuum Pump Power Cable 7 Foot Used Working 4
Edwards U20001189 IGX Series Vacuum Pump Panel Cable Lot of 2 Used 2
Edwards U20001189 IGX Series Vacuum Pump Panel Cable Lot of 2 Used 20
Edwards IGX Series Vacuum Pump Power Cable 11 Foot Used Working 2
MKS High Vacuum Tube Tee Stainless ISO100 4VCR 8VCR NW25 Nupro SS-DLTW4 Used 1
Edwards High Vacuum Flexible Bellows Stainless ISO100 MKS HPS Nor-Cal Used 3
Edwards High Vacuum Tube Tee ISO100 ISO-K 4VCR Male 4VCR Female 90° Elbow Used 1
Fuji High Vacuum Tube Tee QF100 Rotatable ISO100 ISO-F NUPRO SS-DLTW4 7.8" Used 1
Fuji Seiki High Vacuum Tube Tee QF100 Rotatable ISO100 ISO-F NUPRO SS-DLTW4 6.75 1
MKS Instruments High Vacuum Adapter Tube Stainless ISO100 ISO-K to NW50 2" Used 1
Edwards High Vacuum Adapter Tee ISO100 ISO-F to NW50 4VCR Male Used Working 1
Edwards C3095 High Vacuum Adapter Tee ISO63 to ISO100 ISO-F NW25 Copper Used 1
Edwards High Vacuum Tube Tee ISO63 ISO-F to ISO63 ISO-F Grooved NW25 Used 1
Edwards High Vacuum Tube Tee ISO100 ISO-F 8-Hole NW25 iQDP QDP Series Used 2
Edwards High Vacuum Adapter Tube Tee ISO100 ISO-F to ISO100 NW25 90° Elbow Used 1
Progressive System Technologies 1000720 PALS Relay Board PCB Rev. A Used Working 2
Progressive System Technologies 1000720 PALS Relay Board PCB Rev. B Used Working 1
Condor HC24-2.4-A+ Power Supply Reseller Lot of 2 Used Working 2
Fuji Seiki High Vacuum Tube Tee Stainless QF100 to ISO100 NUPRO SS-DLTW4 Used 1
MKS Instruments High Vacuum Mitered Elbow 45° ISO100 ISO-K to ISO100 Used 1
AMAT Applied Materials 0050-60347 90° High Vacuum Elbow ISO80 4VCR Used Working 1
MKS Instruments Conical Reducer Nipple HPS DN150CF to 7.25" Grooved 8-Hole Used 1
Edwards High Vacuum Tee DN63CF Rotatable DN63CF Non-Rotatable Used Working 1
Key High Vacuum Products KST-4525 Vacuum Tee NW25 Stainless Steel Lot of 2 Used 1
MKS Instruments High Vacuum Elbow Tee 90° ISO63 4VCR Stainless Steel Used 1
MKS Instruments 100314605 High Vacuum Tee NW40 Lot of 2 Used Working 1
MDC Vacuum Products CF to VCR Adapter DN40CF to 8VCR 4VCR Lot of 3 Used 1
Edwards High Vacuum Adapter Tee ISO63 ISO-F to ISO100 ISO-K NW25 4VCR Used 1
MKS Instruments High Vacuum Flex Bellows NW50 to NW50 ISO63 ISO-K 6-Hole Used 1
MKS Instruments High Vacuum Bellows Hose NW25 SMC Vacuum Switch ZSM1-115 Used 1
Nor-Cal High Vacuum Conflat Elbow DN40CF 45° Lot of 3 MDC Varian MKS HPS Used 1
MKS Instruments High Vacuum Bellows Adapter ISO100 ISO-F to NW50 Stainless Used 1
MDC Vacuum Products High Vacuum Conical Adapter ASA 1 to ISO80 ISO-K Used 1
Fuji Seiki Turbomolecular Pump Vacuum Adapter Flange QF100 to IS0100 Used 1
MKS Instruments Flexible Bellows Vacuum Hose NW40 8 Foot 2438mm Stainless Used 1
MKS Instruments Flexible Bellows Vacuum Hose NW40 8.5 Foot 2590mm Stainless Used 1
MKS Instruments Flexible Bellows Vacuum Hose NW40 34" Stainless Steel HPS Used 1
MKS Instruments Flexible Bellows Vacuum Hose NW40 38" Stainless Steel HPS Used 1
MKS Instruments Flexible Bellows Vacuum Hose NW25 18" Stainless Steel HPS Used 7
MKS Instruments Flexible Bellows Vacuum Hose NW50 26" Stainless Lot of 3 Used 1
MKS Instruments Flexible Bellows Vacuum Hose NW25 9" Stainless Lot of 4 Used 2
MKS 100314911 Flexible Bellows Vacuum Hose NW16 4" Stainless Lot of 4 Used 3
Edwards C5167 High Vacuum Adapter Tee ISO63 ISO-K to NW50 NW25 Copper Used 2
Edwards High Vacuum Reducer Tee NW50 NW25 iQDP Series Copper Used Working 2
MKS Instruments High Vacuum Tube Elbow 90° NW40 9.5" 9.25" Lot of 2 Used Working 1
Asyst 9700-5158-03 300mm Load Port 300FL, S2.1 25WFR Incomplete for Parts As-Is 1
Asyst Technologies 9700-5158-03 300mm Load Port SMIF-300FL Incomplete As-Is 2
Asyst Technologies 3200-1071-01 Load Port PCB MICRO-G SMIF-300FL Used Working 1
Hama Sensors ROX-43AIC-14.5 Laser Sensor Used Working 6
Oriental Motor CSD5807N-P 5-Phase Driver Vexta Servo Controller Used Working 1
Cosel P150E-5-N Power Supply 5V 30A Used Working 1
Cosel P30E-12-N Compact Power Supply P30E-12 12V 2.5A Lot of 2 Used Working 1
Hitachi H20 AC Magnetic Contactor AC 600V YQ-24 Lot of 2 Used Working 1
Hitachi 1B18079 ZVL897 OFV-DICT PCB Card Used Working 1
Hitachi ZVL900/122 Memory IC PCB Card Used Working 1
Tachibana Tectron TVME2300 Memory PCB Card Rev. B Used Working 1
KEL VMEbus BACKPANEL J1 09 Backplane PCB Board Used Working 1
Ultratech Stepper 01-15-04736 Reticle Gripper Swing Arm 224 Used Working 1
UE Precision Sensors E36W-H148 Absolute Pressure Switch AMAT 1270-00999 New 1
AMAT Applied Materials 0040-07477 Enclosure CB Cleaner Panel 0180-00385 Used 1
Kensington Laboratories 77-4000-6197-00 Arm Axis PCB Card v10.59 HTLZA Used 1
Kensington Laboratories 77-4000-6108-00 Axis Multilink PCB Card v10.59 ZCHD Used 1
Kensington Laboratories 77-4000-6043-01 5-Axis Motherboard PCB Card Used Working 1
Balzers BG 290 348 AU Measuring Amplifier PCB Card BG290348AU Used Working 3
Balzers BG290563-U Potentiometer Switch PCB Card BG 290 563 U Used Working 2
Balzers BG 290 561 U Transformer PCB Card BG 290 564 U Used Working 1
Balzers BG290 339-U Transformer PCB Card BG 290 339 U Used Working 2
Varian L6280-302 Manual Bellows Valve NW25-H/O L6280302 Used Working 2
Pilz 774314 Safety Relay PNOZ X3 Z-Channel Used Working 1
Edwards Mechanical Booster QMB500 6
Digital Electronics LSI-11CPU PCB M7270 Balzers B 5278 854 PA for Repair As-Is 1
Balzers BG 531 470 T Panel Interface LSI 11 PCB Card BG531470T Used Working 2
Digital 50-13564-D MXV 11 A PCB Card M8047 Balzers BG 543 548 W Used Working 2
Digital Electronics 5013128DP1 32k 18 Bit MOS MEM PCB Card M8044 Used Working 2
Balzers BG 290 561 U Transformer PCB Card BG290565U Used Working 1
Varian L6433-301 Cold Cathode Multi-Gauge PCB Card L6433-001 L6434 Used Working 2
Brooks Instrument 5896B11A Two Channel Gas Flow Read-Out 5896 Used Working 2
Balzers MCU 120 Magnetron Control Unit MCU120 Used Working 1
Balzers MCU 105 Magnetron Control Unit MCU105 Used Working 1
AE Advance Energy 3152243-002A MDX Channel Select Panel Used Working 1
AE Advanced Energy 3152243-002B MDX Channel Select Panel Used Working 1
Balzers TPG 100 M4 Multi Pirani Meter Module TPG100M4 Used Working 1
Balzers PKG 100 Pirani Cold Cathode Vacuum Gauge Control PKG100 Used Working 1
Balzers BG M12 505 Valve Control Unit RVG 040 Used Working 4
Balzers BG 019 001 Tempurature Display Module ETD 101 ETD101 Used Working 2
Balzers BG D22 500 Current Display Module ECD 101 ECD101 Used Working 2
Balzers BG M61 001 Meisser Trap Control Module BSM 104 Used Working 2
Balzers BG 541 037 T Transport Control PCB Board BG 542 485A Used Working 1
Balzers BG 542 225 BT Shutter Control Button PCB Board BG 542 228D Used Working 1
Balzers BG 542 370 Thermal Control PCB Board VC11M 415425 AS Used Working 1
Balzers BG 542 481 T/A Thermal Control PCB Board BG 542 491 B Used Working 1
Balzers BG 542 233 T Shutter Control Display PCB Board BG 542 236 Used Working 1
Balzers QMS 064 Partial Pressure Gauge Unit QMG 064 QMS064 Used Working 1
Balzers BG 290 709 TC 6-Channel Rate Adder Module RA 101 RA101 Used Working 2
Balzers BG M76 250 Transport Control Unit TSC 101 TSC101 Used Working 1
Balzers BG 290 709 CT 6-Channel Rate Adder Module RA 101 RA101 Used Working 2
Balzers BG 525 473 T Argon Pressure DA 101 PCB Card BG 525 424 T Used Working 2
Balzers BG 525 473 T 4-Channel Heater DA 101 PCB Card BG 525 424 T Used Working 2
Balzers BG 525 460 AT Shutter Position OU 101 PCB Card BG 525 462 BU Used 2
Balzers BG 525 460 AT Gas LC OU 101 PCB Card BG 525 462 BU Used 2
Balzers BG 542 341 T Adress Control BL 201 PCB Card BG 542 344 T Used Working 2
Balzers BG 542 272 Indicator Display IU 201 PCB Card BG 542 263 T Used 2
Balzers BG 531 189 T Integrate Circuit AD 202 PCB Board BG 531 187 CT Used 2
Balzers BG 542 272 T Indicator Display IU 201 PCB Card BG 542 263 T Used Working 2
Digital Electronics 513008E-P1 VT100 Basic Video PCB Board 54-13097-00 Used 1
Balzers BG M29 000 Power Supply PCB Card EPS 101 EPS101 Used Working 2
Balzers BG 542 164 W Control Module PCB Card PC 201 PC201 Used Working 2
Balzers BG M66 500 Dual RATE-TIME-ADDER Module RTA 101 RTA101 Used Working 3
Balzers BG M70 000 Shutter Control Unit SSC 101 Used Working 1
Balzers BG M54 500 Emergency Stop Module EEO 101 EEO101 Used Working 2
AMAT Applied Materials Power Module Power-One HB24-1.2-A Orbot WF 736 DUO Used 1
AMAT Applied Materials Brake Box Opto 22 12D10 Orbot WF 736 DUO Used Working 1
AMAT Applied Materials RF Amplifier PCB Card ADS-432-303 Orbot WF 736 DUO Used 1
Orbot Instruments 710-26351-DD WF Control PCB Card AMAT WF 736 DUO Used Working 1
Orbot Instruments 710-26332-DD DDS Control PCB Card AMAT WF 736 DUO Used Working 1
Orbot Instruments 710-26372-DD PMT CAM PCB Card AMAT WF 736 DUO Used Working 3
Orbot Instruments WF29153 Backplane PCB Board AMAT WF 736 DUO Used Working 1
G Zimmermann AG 9-9530.4F PCB Board Balzers BG 290 317 S Used Working 1
G Zimmermann AG 9-9530.4F PCB Board Balzers BG 290 317 S Used Working 1
GSI Lumonics 311-15593-1 Optics PCB CCA-10069 KLA-Tencor CRS-3000 Used Working 1
Ultrapointe 000678T Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working 2
Ultrapointe 001008T Lon Motor PCB 0045 KLA-Tencor CRS-3000 Used Working 1
Ultrapointe 0025243 Fast Z Controller PCB Board KLA-Tencor CRS-3000 Used Working 1
GSI Lumonics 3M-14986 PCB Board CCA-10069 KLA-Tencor CRS-3000 Used Working 2
Nikon 2S700-555 MST Video Sync PCB Card 2S015-064-4 V20.04 OPTISTATION 4 Used 3
Nikon 2S700-598 MCR Board PCB Card 2S014-038-2 v1.11 OPTISTATION 3 Used Working 1
Nikon 2S700-598 MCR Board PCB Card 2S014-038-2 v1.11 OPTISTATION 3 Used Working 8
Nikon 2S700-601 Pulse Motor Control PCB Board 2S003-017-1 OPTISTATION 3 Used 3
Nikon 2S700-601 Pulse Motor Control PCB Board 2S003-017-1 OPTISTATION 3 Used 20
Nikon 2S700-601 Pulse Motor Control PCB Board 2S003-017-1 OPTISTATION 3 Used 25
Cybor 512G Photo Resist Power Supply Module 512 Used Working 1
Brooks Automation 002-0000r02 Wafer Handling Robot Untested As-Is 1
Nikon 2S701-009 NTP-NMC-T PCB Card 2S020-061-1 OPTISTATION 3A 200mm Used Working 5
Nikon 2S700-555 MST Video Sync PCB Card 2S015-064-4 V22.04 OPTISTATION 3A Used 1
Nikon 2S700-580 SCPU Control PCB Card 2S014-033-3 OPTISTATION 3A Used Working 1
Nikon 2S700-580 SCPU Control PCB Card 2S014-033-3 OPTISTATION 3A Used Working 1
Nikon 2S700-583-1 CCCNT Control PCB Board 2S014-036-4 V2.23 OPTISTATION 3A Used 1
Nikon 2S700-583-1 CCCNT Control PCB Board 2S014-036-4 V2.23 OPTISTATION 3A Used 1
Nikon 2S700-529-1 GPIBII Backplane PCB Board 2S017-139-3 OPTISTATION 3 Used 1
Nikon 2S700-529-1 GPIBII Backplane PCB Board 2S017-139-3 OPTISTATION 3 Used 7
Orbot Instruments 710-26412-DD WFIOC PCB Board AMAT WF 720 Used Working 1
Orbot Instruments 710-26811-DD WFSCENTER Backplane PCB Board AMAT WF 720 Used 1
Anorad 62663 Servo Amplifier X-Axis PCB Card AMAT Orbot WF 720 Used Working 1
Anorad 62663 Servo Amplifier Y-Axis PCB Card AMAT Orbot WF 720 Used Working 1
Anorad C27363 Dual Axis Front End Logic PCB Card AMAT Orbot WF 720 Used Working 1
Anorad C27843 Dual Axis Front End Logic PCB Card B27843 AMAT Orbot WF 720 Used 1
Anorad 69810 Servo Amplifier PCB Card 3900045 AMAT Orbot WF 720 Used Working 1
ACS Electronics 61754 SB202 Dual Axis Controller PCB Card AMAT Orbot WF 720 Used 2
Anorad 66571 Controller Backplane PCB Card AMAT Orbot WF 720 Used Working 1
Anorad 69812 Servo Amplifier X-Axis PCB Card 3900045 AMAT Orbot WF 736 DUO Used 1
Anorad 69812 Servo Amplifier Y-Axis PCB Card 3900045 AMAT Orbot WF 736 DUO Used 1
ACS Electronics SB214VME-E VME Controller PCB Card AMAT Orbot WF 736 Used 1
Anorad 672474 V-SERV Backplane PCB Board D55398 AMAT Orbot WF 736 DUO Used 1
Anorad 70936 Encoder Interface PCB Board AMAT Orbot WF 736 DUO Used 1
Anorad 68712 Dual Motor Driver Backplane PCB C46717 AMAT Orbot WF 736 DUO Used 2
Anorad 83753 Brake Box Transformer Power Module AMAT Orbot WF 736 DUO Used 1
ACS Electronics AF91 Controller PCB Card Rev. B2 AMAT Orbot WF 720 Used Working 1
ACS Electronics SB91/P Single Axis Controller PCB Card AMAT WF 720 Used 3
ACS Electronics OR81 8 Channel Controller PCB Card AMAT Orbot WF 720 Used 3
ACS Electronics WTM Backplane PCB Board Rev. B1 AMAT Orbot WF 720 Used Working 2
Datacube MAX VIDEO 20 Arcgitectural Adapter 260-0599 PCB Card Orbot WF 720 Used 1
Performance Technologies PT-SBS 915 PCB Card 124-010103 AMAT Orbot WF 720 Used 1
Balzers BG 527 036 BU 24V Relay PCB Card BG 527 109 S Used Working 4
Balzers BG 527 032 BU 24V Relay PCB Card BG 527 108 AS Used Working 4
Balzers BG 525 570 CT Power Supply PCB Card BG 525 574 BS Used Working 1
Orbot Instruments 71051911-DD WFRCENTER Backplane PCB Board AMAT WF 720 Used 2
Schneider Automation 043 506 698 Modicon Micro 110 CPU 311 00 AEG Used Working 2
RadiSys 68-0061-10 Single Board Computer SBC 386/258 U43L-4 Orbot WF 736 Used 1
RadiSys 68-0061-10 Single Board Computer SBC 386/258 U43L-3 Orbot WF 736 Used 1
RadiSys 68-0061-10 Single Board Computer SBC 386/258 U43L-2 Orbot WF 736 Used 1
Orbot WF29132 WFRECORDER REC_IP 4000074 PCB Card AMAT WF 736 DUO Used Working 8
Orbot 710-75023-DD WFREGISTOR 4000021 PCB Card AMAT WF 736 DUO Used Working 4
Orbot 710-75011-DD WFMEMORY MEM 4000020 PCB Card AMAT WF 736 DUO Used Working 5
Orbot 710-75041-DD WFLTRX LTRX 4000022 PCB Card AMAT WF 736 DUO Used Working 2
Orbot WF710-65803-DD WFTRX TRX_IP 4000076 PCB Card AMAT WF 736 DUO Used Working 4
Orbot WF710-65803-DD WFTRX TRX_SC 4000073 PCB Card AMAT WF 736 DUO Used Working 1
Orbot WF710-65803-DD WFTRX TRX_SC 4000073 PCB Card AMAT WF 736 DUO Used Working 1
Orbot WF29132 WFRECORDER REC_SC 4000072 PCB Card AMAT WF 736 DUO Used Working 1
Orbot WF29132 WFRECORDER REC_SC 4000072 PCB Card AMAT WF 736 DUO Used Working 1
Orbot 710-75033-DD WFANALYZER ANA_9 4000140 PCB Card AMAT WF 736 DUO Used 1
Orbot WF710-62201-DD WFVISION2 VIS_9 4000165 PCB Card AMAT WF 736 DUO Used 1
Orbot 120087 WFALIGNER RV3 ALIGN 4000079 PCB Card AMAT WF 736 DUO Used Working 1
Orbot WF710-65803-DD WFTRX TRX_SC9 4000167 PCB Card AMAT WF 736 DUO Used Working 1
Orbot WF710-62201-DD WFVISION2 VISION 4000187 PCB Card AMAT WF 736 DUO Used 1
Orbot WF710-62201-DD WFVISION2 VISION 4000187 PCB Card AMAT WF 736 DUO Used 1
Orbot 710-65601-DD WF HISTOGRAMMER HIST_9 4000164 PCB Card AMAT WF 736 DUO Used 2
Orbot W29132 WFRECORDER REC_SC9 4000166 PCB Card AMAT WF 736 DUO Used Working 1
Orbot Instruments WF710-65803-DD WFTRX TRX_IP PCB Card AMAT WF 720 Used Working 3
Orbot Instruments 1280085 WFCOMPARATOR RV2 COMP PCB Card AMAT WF 720 Used 9
Orbot Instruments 1280087 WFALIGNER RV3 ALIGN PCB Card AMAT WF 720 Used Working 8
Orbot Instruments 710-76501-DD WF LDDH DDH PCB Card AMAT WF 720 Used Working 1
Orbot Instruments 710-75033-DD WFANALYZER PCB Card AMAT WF 720 Used Working 1
Orbot Instruments WF 710-65701-DD WFMASKING PCB Card AMAT WF 720 Used Working 1
Orbot Instruments WF29132 WFRECORDER REC_IP PCB Card AMAT WF 720 Used Working 8
Orbot Instruments 710-75023-DD WFREGISTOR REG PCB Card AMAT WF 720 Used Working 4
Orbot Instruments 710-75011-DD WFMEMORY MEM PCB Card AMAT WF 720 Used Working 4
Orbot Instruments 710-65601-DD WF HISTOGRAMMER HIST PCB Card AMAT WF 720 Used 1
Orbot Instruments 710-65601-DD WF HISTOGRAMMER HIST PCB Card AMAT WF 720 Used 1
RadiSys 504802-008 Single Board Computer pSBC 386/258 U43-0 Orbot WF 720 Used 1
RadiSys 504802-008 Single Board Computer pSBC 386/258 U43-0 Orbot WF 720 Used 1
RadiSys 504802-008 Single Board Computer pSBC 386/258 U43L-2 Orbot WF 720 Used 1
Optasy Light Works OPC232R8 PCB Card PWBOPC232 OPC-232-R8 Orbot WF 736 DUO Used 1
Matrox GEN/P/16/8/STD SBC Single Board Computer Genesis Orbot WF 736 DUO Used 1
Orbot Instruments 710-62101-DD WFSCSI PCB Connector Board Lot of 2 Used Working 2
Nikon BD Plan 20/0.4 Microscope Objective 210/0 OPTISTATION 3 Used Working 1
Nikon BD Plan 20/0.4 Microscope Objective 210/0 OPTISTATION 3 Used Working 8
Nikon BD Plan 10 0.25 Microscope Objective 210/0 OPTISTATION 3 Used Working 7
Nikon BD PlanApo 150/0.9 Microscope Objective 210/0 OPTISTATION 3 Used Working 1
Nikon BD PlanApo 150/0.9 Microscope Objective 210/0 OPTISTATION 3 Used Working 2
Nikon BD Plan 100 0.90 Dry Microscope Objective 210/0 OPTISTATION 3 Used Working 3
Nikon BD PlanApo 100/0.90 Microscope Objective 210/0 OPTISTATION 3 Used Working 1
Nikon BD Plan 60 0.80 Microscope Objective 210/0 OPTISTATION 3 Used Working 3
Nikon BD PlanApo 40/0.80 Microscope Objective 210/0 OPTISTATION 3 Used Working 2
Nikon BD PlanApo 40/0.80 Microscope Objective 210/0 OPTISTATION 3 Used Working 2
Nikon BD Plan 40 0.65 Microscope Objective 210/0 OPTISTATION 3 Used Working 4
Nikon M Plan 2.5 0.075 Microscope Objective 210/0 OPTISTATION 3 Used Working 1
Nikon M Plan 2.5 0.075 Microscope Objective 210/0 OPTISTATION 3 Used Working 1
Nikon M Plan 2.5 0.075 Microscope Objective 210/0 OPTISTATION 3 Used Working 2
Nemic-Lambda ED-4-1212 DC Power Supply Reseller Lot of 2 Used Working 1
Sun Microsystems 600-3676-01 SPARCsever 5 AMAT Orbot WF 720 Used Working 1
ACS Electronics AF91 Controller PCB Card Rev. B1 AMAT Orbot WF 736 DUO Used 1
ACS Electronics SB91/P Single Axis Controller PCB Card Orbot WF736 DUO Used 3
ACS Electronics OR81-OR1 8 Channel Controller OR81 PCB Orbot WF 736 DUO Used 2
ACS Electronics OR81 8 Channel Controller PCB Card AMAT Orbot WF 736 DUO Used 1
LANCAST 4397 16-Part Ethernet Repeater Hub Used Working 1
Nikon 2S700-385 Stage Focus EMO Keypad 2S070-032-2 OPTISTATION 3 Used Working 1
Nikon 2S700-385 Stage Focus EMO Keypad 2S070-032-2 OPTISTATION 3 Used Working 8
Nikon 2S700-386 Microscope Control Keypad 2S070-031-4 OPTISTATION 3 Used Working 8
Nikon 2S700-386 Microscope Control Keypad 2S070-031-4 OPTISTATION 3 Used Working 1
Todensha SSUX-Z27013 Microscope Joystick Nikon OPTISTATION 3 Used Working 7
Todensha SSUX-Z27013 Microscope Joystick Nikon OPTISTATION 3 Used Working 1
Sakae S40JBK-YO-20R2 Microscope Joystick Nikon OPTISTATION 3 Used Working 2
Orbot Instruments 1280115 WF 730 Masking PCB Card AMAT WF 720 Used Working 1
Orbot Instruments 1280114 WF 730-DECISION PCB Card AMAT WF 720 Used Working 1
Orbot WF29132 WFRECORDER 4000074 PCB Card AMAT WF 736 DUO Broken Pull Tab Used 1
RadiSys 504802-008 Single Board Computer pSBC 386/258 U43L-4 Orbot WF 720 Used 1
Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-4 v2.31 OPTISTATION 3 Used 1
Nikon 2S700-555 MST Board PCB Card 2S015-064-4 3.5 MST v5.16A OPTISTATION 3 Used 1
Nikon 2S700-555 MST Board PCB Card 2S015-064-4 3.5 MST v5.16A OPTISTATION 3 Used 4
Nikon 2S701-009 PCB Card 2S020-061 OPTISTATION 3 200mm Used Working 1
Nikon 2S700-555 MST Video Sync PCB Card 2S015-064-4 V13.00 OPTISTATION 3 Used 1
Nikon 2S700-552 Microscope Control Panel 25070-043 OPTISTATION 3 Used Working 1
Nikon 2S700-552 Microscope Control Panel 25070-043 OPTISTATION 3 Used Working 7
NEC FC-9801U Factory Computer Controller Model 2 Nikon OPTISTATION 3 Used 3
NEC I36-457226-E-05 Motherboard Factory Computer Model 2 FC-9801U Used Working 1
NEC PC-9801-29N Interface C Bus PCB Card FC-9801U Nikon OPTISTATION 3 Used 1
Nikon Power Unit Roll Around Power Supply OPTISTATION 3 Used Working 1
Nikon 2S700-552 Microscope Keypad PCB Board 2S070-043 OPTISTATION 3 Used Working 1
NEC I36-455635-A-01 Backplane PCB Board FC-9801U Nikon OPTISTATION 3 Used 1
KLA-Tencor 073-404581-00 PZT Controller PCB Card 710-404582-00 5107 Overlay Used 1
Micro Memory MM6326/8u PCB Card Rev T KLA-Tencor 712-404561-00 5107 Overlay Used 2
ITT Heynau Elektronki TYR-hB Speed Controller Balzers B5 123 154 AA Used Working 1
ITT Heynau Elektronik 1TYRhB Speed Controller Balzers B5 123 154 AA Used Working 1
Orbot 710-26811-DD WFSCENTER Backplane PCB Board AMAT WF 736 DUO Used 1
Edwards QMB500 Vacuum Pump Mechanical Booster Used Untested As-Is 2
Orbot 710-26412-DD WFIOC PCB Board AMAT WF 736 DUO Used Working 1
Opto 22 PB24 Relay PCB Board PCB-1 000228V AG Associates 4100s Used Working 1
Opto 22 PB24 Relay PCB Board PCB-2 000228V AG Associates 4 100s Used Working 1
AG Associates 7100-0813-01 Door Reversal PCB Relay Assembly 4100s Used Working 1
VersaLogic 2100-0120 Relay PCB Card VL-MIO-24 2340 AG Associates 4100s Used 1
VersaLogic 7100-5192-02 Relay PCB Card VL-MIO-24 2340 AG Associates 4100s Used 1
VersaLogic 7100-5192-03 Relay PCB Card VL-MIO-24 2340 AG Associates 4100s Used 1
VersaLogic VL-MIO-24 Relay PCB Card STD MIO-24 AG Associates 4100s Used Working 1
AG Associates 9830-2080 3Ø Zero Crossing Detector PCB Card 4100s Used Working 1
AG Associates 7100-5128-069 ERP Linearizer PCB Card 4100s Heatpulse Used Working 1
Micro/Sys SB8420 PCB Card 2100-0080 SER1 AG Associates 7100-5190-01 4100s Used 1
Micro/Sys SB8420 PCB Card 2100-0085 SER2 AG Associates 7100-5190-02 4100s Used 1
Micro/Sys SB8420 PCB Card 2100-0085 SER3 AG Associates 7100-5190-03 4100s Used 1
Micro/Sys SB8355 PCB Card 2100-0090 TMR AG Associates Heatpulse 4100s Used 1
Analog Devices RTI-1260 PCB Card AG Associates 7100-5123-02 4100s Used Working 1
Analog Devices RTI-1262 DA1 PCB Card AG Associates 2100-0150 4100s Used Working 1
Ziatech ZT8812 CPU Card AG Associates 7100-5133-04 4100s Used Working 1
Analog Devices RTI-1262 DA2 PCB Card AG Associates 2100-0150 4100s Used Working 1
Ziatech ZT8820B MEM PCB Card AG Associates 7100-5170-01 4100s Used Working 1
Ziatech ZT8950-0 FDC PCB Card AG Associates Heatpulse 4100s Used Working 1
AG Associates 7100-5168-07 RMA Analog PCB Card 7500-5146-01 4100s Used Working 1
Sony DXC-930 3CCD Camera with CMA-D2CE Adapter AMAT Orbot WF 720 Used Working 1
Nikon OPTISTATION 3 Microscope Turret with Light Source Assembly Used Working 4
Nikon 2S701-012 Microscope Optical Sensor Assembly OPTISTATION 3 Used Working 1
Nemic-Lambda YM-96-884A Power Supply Assembly Orbot710-26960-AD WF 736 DUO Used 1
Nikon Binocular Lens Eyepiece Microscope Assembly CFWN 10x/20 OPTISTATION 3 Used 1
Nikon OPTISTATION 3 Binocular Eyepiece Microscope Assembly CFUWN 10x/26.5 Used 1
Nikon OPTISTATION 3 Binocular Lens Eyepiece Microscope Assembly Used Working 2
Nikon OPTISTATION 3 Microscope Prism Lens Optical Assembly Used Working 8
Nikon OPTISTATION 3 Microscope Prism Lens Optical Assembly Used Working 1
TMC Micro-g Gimbal Piston Isolators Set of 4 AMAT Orbot WF 720 Used Working 1
JDS Uniphase 2214-20SLUP Laser System 2114P-20SLUP KLA-Tencor 5107 Used Working 1
JDS Uniphase 2214-20SLUP Laser System 2114P-20SLUP KLA-Tencor 5107 Used Working 1
HP Hewlett-Packard 10706B Plane Mirror Interferometer with Pivot Mount Used 3
HP Hewlett-Packard 10780C Inferometer Optical Reciever Lot of 2 Used Working 2
Hamamatsu Photomultiplier Tube Assembly H6534SEL H6534SELECT Orbot WF 720 Used 1
Orbot Instruments 710-20081-DD WF 4_MOTOR_E PCB Board AMAT Orbot WF 720 Used 2
Matrix Integrated Systems 1000-0085 PCB Board System 10 Used Working 1
Matrix Integrated Systems BM-401 Backplane PCB Board System 10 Used 1
Matrix Integrated Systems 1000-0065 Z80 Bus Interface PCB Board System 10 Used 1
Matrix Integrated Systems 1000-0050 Process Contriller PCB Board System 10 Used 1
Boser HS-486H Single Board Computer SBC PCB Matrix 10 Style 1104 Used Working 1
Boser HS-486H Single Board Computer SBC PCB Matrix 10 Style 1104 Used Working 1
Blazers EVA 040 HX Vacuum Right Angle Valve BP V16 130 BPV16130 Used Working 2
Blazers EVA 040 H Vacuum Right Angle Valve BP V16 000 BPV16000 Used Working 1
Blazers EVA 025 P Vacuum Right Angle Valve BP V15 513 BPV15513 Used Working 3
Blazers EVA 040 P Vacuum Right Angle Valve BP V16 001 BPV16001 Used Working 1
Balzers EVA 040 P Vacuum Right Angle Valve BP V16 013 BPV16013 Used Working 5
Orbot Instruments Wafer Stage Assembly AMAT Applied Materials WF 736 DUO Used 1
KLA -Tencor 5107 Microscope Optics Assembly 150mm Olympus BH3-5NR6-M Used Workin 1
AMAT Applied Materials 200mm Wafer Stage Plate Orbot WF 720 Used Working 1
KLA-Tencor 5107 Laser Mirror & Prism Assembly 150mm Newport P100-P Used Working 1
KLA-Tencor 5107 Optical Iris Assembly GSI Lumonics 000-3008528 Used Working 1
KLA-Tencor 0015484-000 Polarized Lens Shutter Motor Assembly TRW H-2744-031 Used 1
Balzers BG 525 570 DT Power Supply PCB Card BG 525 574 CS Used Working 1
Balzers BG M52 000 Rack Mount Magnetron Switching Unit MSU 101 Used Working 3
TURBOVAC TW 250 S Oerlikon Leybold 11352 Turbomolecular Vacuum Pump TW-250S Used 1
TRUBO.DRIVE 300 Oerlikon Leybold 800072V0001 Frequency Converter TD300 Used 1
UNIT Instruments UFC-1100 Mass Flow Controller 10 SLM O2 Used Working 1
UNIT Instruments UFC-1100 Mass Flow Controller 10 SLM Ar Used Working 1
UNIT Instruments UFC-1100 Mass Flow Controller 5 SLM NH3 Used Working 1
UNIT Instruments UFC-1100 Mass Flow Controller 10 SLM N2 Used Working 1
UNIT Instruments UFC-1100 Mass Flow Controller 200 SCCM HC1 Used Working 1
Tescom 74-2461KRG20-037 Manual Pressure Regulator 60 PSI Used Working 6
Tescom 74-2461KRG20-037 Manual Pressure Regulator 60 PSI Used Working 6
APTech AP3550S DUAL V FV4FV4FV4 HPS/2 3-Way Pneumatic Valve Used Working 3
Matrix Integrated Systems System 10 Style 1104 Control Computer PC Used Working 1
Matrix Integrated Systems 1000-0068 Processor Board PCB System 10 Used Working 1
KLA-Tencor 073-400554-00 Wafer Sensor Emitter PCB 710-400161-00 Rev. A 5107 Used 1
KLA-Tencor 073-400554-00 Wafer Sense Emitter PCB 710-400161-00 Rev. C 5107 Used 1
KLA-Tencor 710-450320-00 PID Controller Rev. CA PCB Board 5107 Overlay Used 1
Schroff 23011-004 Backplane Assembly Lot of 3 KLA-Tencor 5107 Used Working 1
Edwards D37208000 iQDP40 Vacuum Pump Electrics Module Warning 5413 Tested Used 2
KLA-Tencor 716-402608-07 150mm Wafer Prealigner Assembly 5107 Used Working 1
AG Associates 7200-0520-04 Wafer Carrier Assembly 4100s 200mm Heatpulse Used 2
Nikon OPTISTATION 3 Dual 200mm Wafer Inspection Transport Assembly Used Working 4
AG Associates 7200-0310-01 Cooling Station Assembly 4100s 200mm Heatpulse Used 1
Nikon 200mm Wafer Inspection Transport Assembly OPTISTATION 3 Used Working 2
Nikon 200mm Wafer Inspection Transport Assembly OPTISTATION 3 Used Working 3
APTech AP3550SM 2PW FV4 FV4 Springless Diaphragm Valve Lot of 2 Used Working 1
APTech AP3550SM 2PW FV4 FV4 Springless Diaphragm Valve Lot of 2 Used Working 1
Omega Engineering 9812-0010-03 TC Amplifier TAC 386 KC AG Associates 4100s Used 1
Nikon OPTISTATION 3 Pneumatic Manifold Koganei HM7F-9W V100E1 Used Working 6
Matrix 1000-0073 Cluster Tool Phase Monitor PCB Board System 10 Style 1104 Used 1
DUO 030A Pfeiffer Balzers PK D24 6oz C Rotary Vacuum Pump No Power Tested As-Is 1
DUO 030A Pfeiffer Balzers PK D24 652 Vacuum Pump Will Not Pump Down Tested As-Is 1
iQDP40 Edwards A532-40-905 Dry Vacuum Pump Tested For Parts or Repair As-Is 7
iQDP40 Edwards A400-91-905 Dry Vacuum Pump Tested For Parts or Repairs As-Is 1
iQDP40 Edwards A400-91-905 Dry Vacuum Pump Tested For Parts or Repairs As-Is 1
Tylan General FC-280SAV Mass Flow Controller 10 SLPM N2 Used Working 2
Tylan General FC-280SAV Mass Flow Controller 2 SLPM Ar Used Working 2
Cybor 2-113-002 Photo Resist Power Supply Module 512 Used Working 1
Genesis Vacuum Technologies SS452-1018 108-000200 Balzers LLS 801 Used Working 2
VAT F03-105962 Pneumatic Slit Valve Matrix System 10 Style 1104 Used Working 1
Cyonics 2500 Laser Remote Interface Uniphase AMAT Orbot WF 720 Used Working 1
AMAT Applied Materials AF Mircoscope Assembly 710-34041-DD Orbot WF 720 Used 1
AMAT Applied Materials Optics Turret Assembly Microscope Lens Orbot WF 720 Used 1
AMAT Applied Materials Optics Filter Index Wheel 710-34041-DD Orbot WF 720 Used 1
AMAT Applied Materials Laser Optics Convex Lens Set of 2 Orbot WF 720 Used 1
Leica 036-085.021 Microscope Motor Assembly WF710-34711-DD Orbot WF 720 Used 1
AMAT Applied Materials WF-PDI Optical Head Microscope Orbot WF 720 200mm Used 1
AMAT Applied Materials Mirror Wheel Assembly 710-34041-DD AMAT Orbot WF 720 Used 1
AMAT Applied Materials 710-20081-DD Microscope PCB Assembly Orbot WF 720 Used 1
AMAT Applied Materials Fiber Optic Cable 1 Laser 50% Orbot WF 720 Used Working 1
AMAT Applied Materials Fiber Optic Cable 2 Laser 48% Orbot WF 720 Used Working 1
AMAT Applied Materials Fiber Optic Cable 3 Laser 50% Orbot WF 720 Used Working 1
AMAT Applied Materials Fiber Optic Cable 4 Laser 50% Orbot WF 720 Used Working 1
AMAT Applied Materials Pneumatic System Tank D1H-A80SS Orbot WF 720 Used Working 1
AMAT Applied Materials Pneumatic Manifold 92-812A C04-02-M00 Orbot WF 720 Used 1
AMAT Applied Materials Anorad Linear Rail Wafer Stage Set of 2 Orbot WF 720 Used 1
MKS 146B-13510 Vacuum Gauge Measurment Control System Used Tested Working 1
Edwards C5118 High Vacuum Tube Tee ISO100 ISO-K NW25 New Surplus 2
VAT B90002011 Pneumatic Gate Valve BGV LOTO Edwards NRY0TN000A New 2
Edwards C5167 High Vacuum Adapter Tee ISO63 ISO-K to NW50 NW25 New Surplus 2
Edwards High Vacuum Tube Tee NW50 NW25 New Surplus 2
Edwards C10009670 High Vacuum Flexible Bellows Stainless ISO100 New Surplus 1
Edwards C10517670 High Vacuum Flexible Bellows Stainless NW50 New Surplus 2
Zellweger 2414-0017 Non-Intrusive Calibrator Digi-Cat Gauge Rev. 7 Used Working 2
Richmond 4005184 PFC PulseFlow Controller PF20 Simco Used Working 1
Red Lion Controls IMD13162 Intelligent Meter IMD1 Reseller Lot of 2 Used Working 1
AMAT Applied Materials 710-20081-DD Microscope PCB Assembly Orbot WF 736 Used 1
Edwards A52844460 Vacuum System im Interface Module New Surplus 2
Edwards NRY0190412 6xPDT Switch Box for iGX Vacuum Pumps New Surplus 1
Edwards U20000924 iNIM Network Interface D37310000 New Surplus 1
Edwards U20001107P Eason Control Box Alarm Enclosure NRY0TN101US New Surplus 1
Edwards NRY0TN522 High Vacuum Tube Full Nipple ISO100 ISO-K Stainless Steel New 1
Edwards NRY0DN523 High Vacuum Tube Tee NW50 to NW40 4VCR Stainless Steel New 2
Edwards Braided Flexible Vacuum Bellows NW40 with NW25 New Surplus 4
Edwards A52844463 Vacuum System im Interface Module New Surplus 2
Evans Components PW-08-STRPS-M-ED PCWS Stick Edwards U30009009 New Surplus 1
Evans Components PW-08-EZMF-GVPS-P3-PT PCWR Stick Edwards U30009016 New Surplus 1
Evans Components NB-GL-8C-200-XX CFOS Stick U30007572 New Surplus 1
Edwards U20000883 Helium Stick Swagelok SS-4BMG H6800SSL1/4PSS New Surplus 1
Edwards NRY0DN522 3-Way High Vacuum Tube NW40 New Surplus 2
Edwards D37370596 iQDP Extension Cable 5-Pin XLR 15 M New Surplus 3
Edwards U20001189 iGX Series Vacuum Pupm Power Cable New Surplus 4
Edwards D37370761 iGX Accessory Module New Surplus 4
Edwards C37360330 Micro TIM Tool Interface TEL New Surplus 4
Edwards D37280700 Pump Display NRY0190412 Switch Box for iGX Pumps New Surplus 1
Pacific Scientific P22NSXA-LDN-NS-02 1.8�  Step Motor Vexta D6CL-6.3 Used Workin 1
Lasertec 1LM21 Laser Microscope Amp Unit Zygo ARMI Used Working 1
UE Precision Sensors E36W-H148 Absolute Pressure Switch AMAT 1270-00999 New 1
Sena Fiber Optic Light System 2450DR MKII 50HTFI LPC50 Zygo ARMI Used Working 1
Keyence BL-600HA Laser Barcode Reader with BL-U2 Power Supply Zygo ARMI Used 1
Telemecanique XPSAT5100 Safety Relay Preventa XPS-AT Used Working 1
Sti Safety Relay Set SL Limit Switch M12 SR06AM SR05A Zygo ARMI Used Working 1
Zygo Automation Systems N2 Wafer Spray Arm Assembly N2-4 ARMI Used Working 1
Agilent L6280-302 Manual Bellows Valve NW-25-H/O Varian Used Working 1
AMAT Applied Materials Optics Turret Assembly Microscope Lens Orbot WF 736 Used 1
Leica 036-085.021 Microscope Motor Assembly WF710-34711-DD Orbot WF 736 Used 1
AMAT Applied Materials Anorad Linear Rail Wafer Stage Set of 2 Orbot WF 736 Used 1
Balzers BG GO2 250 Pirani Vacuum Gauge TPR 010 Used Working 6
Balzers BG GO1 503 Ion Gauge Head High Pressure Measuring Unit IMR 110 Used 1
Balzers BP V15 513 Vacuum Right Angle Valve EVA 025 P BPV15513 Used Working 1
Balzers BP V16 001 Vacuum Right Angle Valve EVA 040 P BPV16001 Used Working 1
Barksdale D2T-H18-GE32 Pressure Switch Balzers BB 149 038-T Lot of 4 Used 1
Fil-Tec 531 Thermocouple Vacuum Gauge NW16 Used Working 1
VAT F12-60233 Manually Operated Vacuum Gate Valve Used Working 2
Varian Semiconductor Equipment F2817-304 Cold Cothode Gauge 5247-2 Used Working 1
Magnet-Schultz XAPX044K54D11 Vacuum Switch Balxers EVC 010 M Lot of 2 Used 1
SMC 0242-34890 Gate Valve Actuator AMAT 3020-00077 0040-50505 Used Working 1
AMAT Applied Materials 0010-25624 300mm Slit Valve Assembly 3700-02144 Used 1
AMAT Applied Materials 200mm Wafer Stage Plate Orbot WF 720 Used Working 1
Orbot Instruments 710-25201-DD THETA-Z WF PCB Board AMAT WF 720 Used Working 1
KLA-Tencor 740-450321-00 Wafer Transport VFU Assembly 5107 Used Working 1
Thomson Quickslide Wafer Transport Linear Rail KLA-Tencor 5107 Used Working 1
TPH 240 Pfeiffer PM P01 320B Turbomolecular Vacuum Pump Used Working 1
TCP 300 Pfeiffer PM C01 259 Turbomolecular Pump Power Supply Tested As-Is 1
VAT High Vacuum Adapter LF320 to LF250 ISO-K NW320 NW250 Used Working 1
KLA-Tencor 073-451386-00 PDA Multiplier PCB Board 5107 Used Working 1
KLA-Tencor 720-451511-00 Optical Prism Housing Assembly 5107 Overlay System Used 1
KLA-Tencor Diode Array Optical Lens Assembly Set of 2 5107 Overlay System Used 1
KLA-Tencor Proximity Sensor Assembly 730-404397-00 Turck BC5-S18AP4X 5107 Used 1
KLA-Tencor Optical Lens Mirror Housing Assembly 730-404395-00 5107 Used Working 1
Mitutoyo 0-25mm Micrometer Head 0.01mm Ratchet Stop KLA-Tencor 5107 Used Working 1
KLA-Tencor Microscope Optics Stage Assembly 730-450565-00 5107 Used Working 1
SMC VEF3120-3 E-P Proportional Valve Flow Control KLA-Tencor 5107 Used Working 3
AMAT Applied Materials Fiber Optic Cable Laser 54.7% Orbot WF 736 DUO Used 1
AMAT Applied Materials Fiber Optic Cable Laser 54.5% Orbot WF 736 DUO Used 1
AMAT Applied Materials Fiber Optic Cable Laser 55% Orbot WF 736 DUO Used 1
AMAT Applied Materials Fiber Optic Cable Laser 55.2% Orbot WF 736 DUO Used 1
Matrix Integrated Systems 1010-0074 Connector PCB Board System 10 Used Working 2
MKS Instruments 122AA-00010BB Baratron Pressure Transducer Used Tested Working 1
Zürrer PFV352 012 V Motor and Gearhead Assembly Balzers LLS 801 Used Working 2
Eurotherm D901/40A/10V/PA TCS Input Converter Used Working 2
Balzers BG 541 086-S/D Partial Pressure Gauge PCB Card EM 064 Used Working 1
Balzers BG 541 087-S/B Partial Pressure Gauge PCB Card RF 064 Used Working 1
Balzers 200mm Wafer Carousel Assembly LLS 801 Sputtering System Used Working 1
Balzers 150mm Wafer Carousel Assembly LLS 801 Sputtering System Used Working 1
MKS Instruments 122BA-00010EB Baratron Pressure Transducer Used Tested Working 1
Ultratech Stepper 2201-000001 Supershutter Interface UltraStep 1000 Used Working 2
Semifusion 240 PEN Motor Drive Assembly Ultratech Stepper UltraStep 1000 Used 2
HP Hewlett-Packard 10706A Plane Mirror Interferometer 10703A Pivot Mount Used 6
HP Hewlett-Packard 10706A Plane Mirror Interferometer with Pivot Mount Used 1
HP Hewlett-Packard 10780A Inferometer Optical Receiver Lot of 2 Used Working 2
Agilent 10780C Inferometer Receiver HP Hewlett-Packard 10780B Lot of 2 Used 1
Worthington Data Solutions LZ200 Barcode Scanner with R11/12 Data Reader Used 1
RPS Radiation Power Systems HA-UPR500C Short Arc Pulsing Regulator Used Working 1
HP Hewlett-Packard 10746-60001 Binary Interface Board PCB Card Rev. C Used 2
HP Hewlett-Packard 10746-60001 Binary Interface Board PCB Card Rev. E Used 1
Ultratech Stepper 0513 4197 00 Servo Stage PCB Card Semifusion Model 151 Used 5
Semifusion 120 32 Bit Interface PCB Card Ultratech Stepper UltraStep 1000 Used 4
Semifusion 170 Auto Photo Controller PCB Card Ultratech UltraStep 1000 Used 3
HP Hewlett-Packard 10762-60001 Comparator PCB Card Ultratech 0503-300700 Used 8
Ultratech Stepper 0513-555000 Servo Stage PCB Card Semifusion Model 151 Used 1
Ultratech Stepper 0513 4197 00 Servo Stage PCB Card Semifusion Model 152 Used 1
Ultratech Stepper 0513 4197 00 Servo Stage PCB Card UltraStep 1000 Used Working 1
HP Hewlett-Packard 10764-60003 Fast Pulse Converter PCB Card Ultratech 1000 Used 1
Semifusion 260 Jumper Board PCB Card Ultratech UltraStep 1000 Used Working 2
Semifusion 311 Motor Driver PCB Card Ultratech UltraStep 1000 Used Working 1
Semifusion 160 Comparator Connector PCB Lot of 4 Ultratech 1000 Used Working 2
Semifusion 140 Stage Motor Amplifier PCB Board Ultratech 1000 Used Working 2
Ultratech Stepper 0523-585800 System Driver PCB Board 311 UltraStep 1000 Used 1
Semifusion 131-1 System Driver PCB Board 245 311 Ultratech UltraStep 1000 Used 1
Semifusion 132 System Driver PCB Board 245 311 Ultratech UltraStep 1000 Used 1
Densei-Lambda JWS300-24 Power Supply Reseller Lot of 2 Used Working 1
Lambda LGS5A-24-OV-R Regulated Power Supply Reseller Lot of 2 Used Working 1
Ultratech Stepper 0526-568900 Focus Monitor Assembly 250-1 UltraStep 1000 Used 1
Ultratech Stepper 0516-537100 Focus Monitor Assembly 250-1 UltraStep 1000 Used 2
Electroglas 253491-002 Communication Board PCB Card Rev. E 4085x Horizon Used 2
Electroglas 247439-001 Solenoid I/O Interface PCB Board 4085x Horizon PSM Used 2
Electroglas 247219-002 Prealign Subsystem PCB Card Rev. M 4085x Horizon PSM Used 2
Electroglas 247222-002 Linear Motor Subsystem Assembly 4085x Horizon PSM Used 2
Electroglas 247216-001 System I/O PCB Card 4085x Horizon PSM Used Working 2
Electroglas 247225-002 XFR Arm Subsystem PCB Card 4085x Horizon PSM Used Working 2
Electroglas 247228-001 X Theta Driver PCB Card Rev. P 4085x Horizon Used Working 1
Electroglas 247213-003 Main System Board PCB Card 4085x Horizon Used Working 2
Akira Seiki RWH20G 10ΩJ RWH10G 15ΩJ 0.1Ω Compact Resistor Reseller Lot of 9 Used 1
Akira Seiki RWH200G 300ΩJ Ceramic Resistor Reseller Lot of 3 Used Working 1
Akira Seiki RWH300G 350ΩJ Ceramic Resistor Reseller Lot of 3 Used Working 2
Akira Seiki RWH300G 250ΩJ Ceramic Resistor Reseller Lot of 3 Used Working 1
Daiichi LMK-10C Oblong Meter LK Series DC Voltmeter Ammeter Lot of 10 Used 1
Yashibi 306SCR-2A Thyristor Transformer PCB Board IP-268A YCC-18K Used Working 9
Yashibi HCU-IB Inverter Gate PCB Board IP-253B Used Working 3
Yashibi HCU-3 Isolation Amplifier PCB Board IP-308A 90.2 Used Working 3
Yashibi IP-246B Optical Sensor PCB Board 1993.10 Used Working 3
Yashibi IP-248B IC Switch Control PCB Board 89.6 Used Working 5
Yashibi IP-252 Connector Interface PCB Board Reseller Lot of 9 Used Working 1
Yashibi IP-28IB Time Delay Relay PCB Board 1989.6 H3FA-A Reseller Lot of 3 Used 1
Yashibi IP-245 Encapsulation DIP Bridge 88.6 PCB Board Used Working 3
Electroglas 247265-001 Handler Communications PCB Card Rev. F 4085X Horizon Used 2
Electroglas 102944-010 Motion Control PCB Card Rev. AE 200mm 4085X Horizon Used 2
Electroglas 246067-001 4 Port Serial I/O Assembly II PCB Card Rev. L 4085X Used 2
Electroglas 244288-001 Tester Interface PCB Card Rev. AA 4085X Horizon Used 1
Electroglas 244288-001 Tester Interface PCB Card Rev. AE 4085X Horizon Used 1
Electroglas 251411-002 CPU 020 PCB Card Rev. N 200mm 4085X Horizon Used Working 1
Electroglas 256303-003 CPU 020 PCB Card Rev. N 200mm 4085X Horizon Used Working 1
Electroglas 244736-001 Theta Z Inker Drivers PCB Card Rev. F DEV.3821 4085X Used 1
Electroglas 114824-002 28V Solenoid Drivers PCB Card Rev. D 4085X Horizon Used 2
Electroglas 258805-001 Cold Sort AUX CONT PCB Card 4085X Horizon Used Working 1
Tencor 294420 Motor Distribution S8000 PCB Board KLA-Tencor AIT I Surfscan Used 2
Electroglas 250259-001 CRT Controller Lamp Driver PCB Card 4085x Horizon Used 2
Electroglas 255391-001 ILLUM & OPT CONT 3 PCB Board 4085x Horizon Used Working 1
Electroglas 250047-001 ILLUM & OPT CONT II PCB Board 4085x Horizon Used Working 1
Cosmicar/Pentax 8766721 Camera Controller PCB Board Type E Electroglas Used 1
Cosmicar/Pentax 8766721 Camera Controller PCB Board Type B Electroglas Used 1
Cognex VPM-3434-1 In-Circuit Test PCB Card 200-0057-1 Electroglas 4085x Used 1
Electroglas 8767-1/001 Dual CCD Camera Module Electroglas 4085x Used Working 1
Trazer 1308-002 RF Match Network AMU1A-20 Matrix System 10 Style 1104 Used 2
Nor-Cal Products AIVP-2002-NWB Pneumatic Angle In-Line Used Working 2
Tylan General CMLB-11S06 Pressure Transducer CML Series Used Working 2
MKS Instruments 127A-13427 Baratron Pressure Transducer Used Working 1
MKS Instruments 127A-13431 Baratron Pressure Transducer Used Working 1
MKS Instruments 127AA-00001A Baratron Pressure Transducer Used Working 2
MKS Instruments 122AA-00100AB Baratron Pressure Transducer Used Working 14
MKS Instruments 622A12TAE Baratron Capacitance Manometer Used Working 1
MKS Instruments Type 127 Baratron Pressure Transducer Lot of 2 Used Working 1
MRC Materials Research A114569 Stepper Motor Assembly Eclipse Star Used Working 1
Electroglas Pneumatics Module Assembly Incomplete 200mm 4085X Horizon Used 2
Keithley PIO-SSR-120 Multi-Channel Parallel Digital I/O PCB Board Used Working 1
Eaton 544621 8-Port Serial Card 544611 PCB Board Used Working 1
Texas Micro 92-005649-00X ISA SBC Single Board Computer 20-005650-02 Used 1
Gast Manufacturing DOA-V127-DD Diaphragm Vacuum Pump Used Working 1
MRC Materials Research 885-11-000 Analog Process PCB POS. 2 Rev. D Eclipse Used 4
MRC Materials Research 884-53-000 Transfer Arm PCB Rev. B Board Eclipse Used 2
MRC Materials Research 884-55-000 Stepper I/F Board PCB Rev. F Eclipse Star Used 1
MRC Materials Research 884-55-000 Stepper I/F Board PCB Rev. H Eclipse Star Used 1
MRC Materials Research 884-54-000 Analog Process PCB POS. 1 Rev. B Eclipse Used 1
MRC Materials Research 884-54-000 Analog Process PCB POS. 3 Rev. B+ Eclipse Used 1
MRC Materials Research 884-13-000 LED Indicator PCB Rev. D Eclipse Star Used 1
MRC Materials Research 884-63-000 Sput Transformer PCB Lot of 3 Eclipse Used 1
MRC Materials Research 884-60-000 Gas Interface PCB Rev. A Eclipse Star Used 1
MRC Materials Research 884-60-000 Gas Interface PCB Rev. C Eclipse Star Used 1
MRC Materials Research 884-99-000 Relay PCB Board Eclipse Star Used Working 1
Farnell 144-010 Adjustable Power Supply PCB Board MRC Eclipse Star Used Working 1
MRC Materials Research A111396 PCB Assembly 883-88-000 Eclipse Star Used Working 1
MRC Materials Research A112990 Throttle Valve Assembly Rev. H Eclipse Star Used 4
Key High Vacuum GV-6-AP-MRC Gate Valve MRC Eclipse Star Used Working 3
Hine Design 02423-001 Arm Control Board PCB 778-2I GaSonics Aura A-2000LL Used 1
Hine Design 885-2423 Arm Control Board PCB 778-1I GaSonics Aura A-2000LL Used 1
Hine Design 02423-001 Arm Control Board PCB 06764-002 GaSonics A-2000LL Used 1
Hine Design 02423-001 Arm Control Board PCB 06764-001 GaSonics A-2000LL Used 3
GaSonics A90-005-06 Controller Board PCB A89-005-01 FabMation Aura A-2000LL Used 1
GaSonics 90-2658 Controller Board PCB A89-005-01 Rev. A A-2000LL Used Working 1
GaSonics 90-2658 Controller Board PCB A89-005-01 Rev. C A-2000LL Used Working 1
GaSonics 90-2609 Display Decoder PCB A89-005-01 Rev. A A-2000LL Used Working 1
GaSonics/IPC A90-014-01 Display Decoder PCB A89-014-01 A-2000LL Used Working 2
GaSonics 90-2608 PCA Loadlock Interface PCB Board Rev. A A-2000LL Used Working 1
GaSonics 90-2608 PCA Loadlock Interface PCB Board Rev. C A-2000LL Used Working 1
GaSonics 90-2608 PCA Loadlock Interface PCB Board Rev. D A-2000LL Used Working 1
MKS Instruments 127A-11008 Baratron Pressure Transducer Type 127 Used Working 1
MKS Instruments 127AA-00001A Baratron Pressure Transducer Type 127 Used Working 1
Asyst 04290-201 Process Chamber Elevator GaSonics 94-1118 Hine 06763-005 Used 1
Asyst 04290-201 Process Chamber Elevator GaSonics 94-1118 Hine 06763-805 Used 1
Hine 853-4290-002 Process Chamber Elevator GaSonics 94-1118 06763-805 Used 1
Hine Design 853-4290-001 Load Lock Elevator GaSonics 94-1119 810-2140-005A Used 3
Hine Design 200mm Chamber Robot Assembly Gasonics Aura A-2000LL Used Working 3
Hine Design Inner Chamber Transfer Robot Assembly Gasonics Aura A-2000LL Used 3
Novellus 90-2736 Dual Setpoint SCR Controller PCB Ver. D GaSonics A-2000LL Used 1
Novellus 90-2736 Dual Setpoint SCR Controller PCB Ver. C GaSonics A-2000LL Used 1
Novellus 90-2736 Dual Setpoint SCR Controller PCB Ver. B GaSonics A-2000LL Used 1
Key High Vacuum GV-6AP-4010780 Gate Valve MRC Eclipse Star Used Working 5
GaSonics A90-031-01 PLASMA/LAMP Failure Detection PCB Rev. C Aura A-2000LL Used 1
GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. C Aura A-2000LL Used 2
GaSonics A95-108-02-R-D LED and Interface Panel PCB A89-013-01 A-2000LL Used 2
GaSonics A95-108-02-R-G LED and Interface Panel PCB A89-013-01 A-2000LL Used 1
Ultratech Stepper 19887320033 Wide Field Optics Module UltraStep 1000 Used 1
GaSonics A95-107-01-R-D LED and Interface Control Panel Aura 2000-LL Used 1
GaSonics A95-107-01-R-D LED and Interface Control Panel Aura 2000-LL Used 1
GaSonics A95-107-01-R-D LED and Interface Control Panel Aura 2000-LL Used 1
CTI-Cryogenics 8120948G001 On-Board Waterpump MRC Eclipse Star Used Working 1
Tencor Instruments 261408 4 Channel Motor Control PCB Card Rev. B Used Working 1
Tencor Instruments 261408 4 Channel Motor Control PCB Card Rev. B Used Working 1
Tencor Instruments 363251 4 Channel Motor Control PCB Card Rev. A AIT 1 Used 2
Sanyo Denki US1D200P10 Micro Stepping Unipolar Driver Sanmotion F Used Working 7
Omron S8VS-03005 DIN Rail Power Supply Reseller Lot of 4 Used Working 1
Oriental Motor SB50W Brake Pack Reseller Lot of 4 Used Working 2
Omron S8VS-24024 Power Supply DC24V 10A Used Working 4
Sanyo Denki R2AA08075FBP77M AC Servo Motor Sanmotion R Hirata HQPLP-2DHP Used 4
Sanyo Denki R2AA06020FXP77M AC Servo Motor Sumitomo ANFX-P110F-2RL3-9 Used 4
Oriental Motor 4RK25GN-CW2M AC Magnetic Brake Motor Gearhead 4GN25S Used Working 8
Sumitomo RNYX-1420-AG-30 Hyponic Drive and Gear Shaft Hirata HQPLP-2DHP Used 4
Schneider Electric LOUTB-24 Tower Light Arrow Lot of 3 Hirata HQPLP-2DHP Used 1
Asyst 04630-003 Load Elevator Indexer Hine GaSonics 94-1175 Aura 2000-LL Used 3
TEL Tokyo Electron 3281-000110-13 Y Interconnect 1 PCB 3208-000110-13 P-8 Used 1
TEL Tokyo Electron 3281-000088-12 PCB Y Interconnect 2 3208-000088-12 P-8 Used 1
PRI Automation TRA035-LPS Track Controller KLA-Tencor 0000666-000 CRS-3000 Used 2
Advanced Motion Controls PC3XD-PF1 X03 Pre-Aligner PCB Card Used Working 1
MCSI Technologies 71800 ISA Passive Backplane Connector PCB Board Used Working 1
KLA-Tencor 0000612-000 Filter Fan Unit I/F PCB Board CRS-3000 Used Working 1
KLA-Tencor 00102249-000 E84 Opto-Isolator PCB Board CRS-3000 Used Working 6
Ampro Computers LB3-48E-Q-01 SBC Single Board Computer PCB CRS-3000 Used Working 1
Diamond Systems EMERALD-MM V4B Digital I/O PCB Board 710145 KLA CRS-3000 Used 1
Turbo-V 550 Varian 9699544S016 Turbomolecular Pump Controller Used Working 1
Kimball Physics EGPS-1106A Electron Gun Power Supply KLA-Tencor eS20XP Used 2
Brooks Automation 002-9400-04 Robot Controller KLA-Tencor eS20XP Used Working 1
Brooks Automation TT1ENR2-1 Robot Teach Pendant KLA-Tencor eS20XP Used Working 1
Brooks Automation 1-0125 Wafer Handling Robot KLA-Tencor eS20XP Used Working 1
Brooks Automation 108378 DeviceNet Module BrooksNet DN KLA-Tencor eS20XP Used 2
Ultrapointe 000134 Page Scanner Control PCB Rev. A KLA-Tencor CRS-3000 Used 1
Ultrapointe 001000 Fast Z Controller PCB Rev. A KLA-Tencor CRS-3000 Used Working 1
Ultrapointe 001050 Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working 1
Ultrapointe 001008 Lon Motor PCB 00045 KLA-Tencor CRS-3000 Used Working 1
GSI Lumonics 003-3002009 PCB Board 311-15593-1 KLA-Tencor CRS-3000 Used Working 1
Ultrapointe 001019AD Lon I/O Expander Board PCB 001020T KLA-Tencor CRS-3000 Used 1
Olympus NeoSPlan 5 NIC 0.13 IC 5 Objective KLA-Tencor CRS-3000 Used 1
Olympus NeoSPlan 50 NIC 0.70 IC 50 Objective KLA-Tencor CRS-3000 Used 1
Olympus NeoSPlan 20 NIC 0.40 IC 20 Objective KLA CRS-3000 Used Working 1
KLA-Tencor Pneumatic Vibration Isolator Legs Set of 4 CRS-3000 Used Working 1
Nor-Cal Products A121178 Manual Angle Isolation Valve Used Working 1
Key High Vacuum SL-150-NA113802 Manual Isolation Valve Used Working 2
MKS Instruments 2179A-22444 Mass Flow Controller Assembly 6LVV-DP6901-C Used 1
MRC Materials Research A115355 Power Box A115395 Eclipse Star Used Working 1
Stearns 2-12-1807-00-AEH Drive Assembly MRC Eclipse Star Used Working 1
Stearns 2-12-1807-00-AEH Drive Assembly MRC A126257 Eclipse Star Used Working 1
Dolan-Jenner 180 High Intensity Fiber Optic Illuminator Used Working 2
Semiconductor Equipment Corp 4496-023 Pneumatic Manifold PCB 410 Bonder Used 1
Semiconductor Equipment Corp 4496-022 Resistor PCB Board 410 Bonder Used Working 1
Semiconductor Equipment Corp 4196-030 Amplifier PCB Board 410 Bonder Used 1
SVG Silicon Valley Group 99-80293-01 I/O Expansion/LCD Interface PCB Rev. C Used 4
Optrex DMF5005N LCD Display PCB Board SVG Silicon Valley Group 90S DUV Used 4
SVG Silicon Valley Group 99-80316-01 Flow Switch Voltage Regulator PCB 90S Used 5
TEL Tokyo Electron 2310-290647-7 Robot Pincette Ceramic Arm Used Working 1
Mactronix STN-600 Manual Slide 150mm Wafer Cassette Transfer Tool Used Working 1
H-Square WT28HLAS 200mm Manual Horizontal Wafer Transfer System Copper Cu Used 2
H-Square WT6HLAS 150mm Manual Horizontal Wafer Transfer System Used Working 5
H-Square WT6HLAS 150mm Manual Horizontal Wafer Transfer System Copper Cu Used 3
H-Square WT6HLAS 150mm Manual Horizontal Wafer Transfer System Flat Cu Used 2
H-Square MTM8 200mm Automatic Horizontal Wafer Transfer System Used Working 2
Daitron 200mm Manual Horizontal Wafer Transfer System 8 Inch Used Working 2
Pentagon Technologies 0020-24386 Cover Ring PB 150mm AMAT Applied Materials New 2
Pentagon Technologies 0020-26403 Cover Ring Endura AMAT Applied Materials New 1
Pentagon 0021-20572 Advanced 6" Pedestal AMAT Applied Materials MRC Eclipse New 1
Pentagon Technologies 0020-26589 6" Preclean Shield AMAT Applied Materials New 1
Pentagon Technologies 0020-24898 6" Shield AMAT Applied Materials Endura New 1
Pentagon Technologies PT-0943-0186 Lower Shield New 1
Pentagon Technologies PT-0943-0192 Clamped Cover Ring TiW New 1
Tencor Instruments P-11 Surface Profiler 1
MDC CSM/Win-7200-QS2 Capacitance/Quasistatic CV Meter Assembly 1
Pentagon Technologies PT-0943-0187 Upper Shield A/S New 1
Pentagon Technologies PT-0143-0037 Gas Trench Cover New 1
Precise Sensors 3441-0006501C6WSE02L0002 Pressure Gauge 4861-65-GA-RM Used 12
MRC Materials Research A114929 Plenum Chuck Assembly Eclipse Star Used Working 1
MRC Materials Research Plenum Chuck S-A00290 Nupro SS-BNV51-C Eclipse Star Used 1
MRC Materials Research Plenum Chuck Assembly Mosier S-A00290 Eclipse Star Used 1
Zeiss 45 28 11 Axiotron Wafer Inspection Microscope Assembly Nidek IM-11 Used 1
Keithley Instruments 595 Quasistatic Capacitance Voltage CV Meter Used Working 1
ACS Electronics I-SERV-2-110 Anorad Power Conversion Equipment Used Working 1
ACS Electronics I-SERV-1-110 Anorad Power Conversion Equipment Used Working 1
Schaffner FN356-100-28 3 Phase EMC Power Line Filter 60287-21 New 1
MDC Materials Development Corp 490 QuietChuck DC Controller Used Working 1
Nemic-Lambda LUS10A-12 Power Supply GCMK-20X Used Working 1
MRC Materials Research Etch Glow Start PS Module Eclipse Star Used Working 1
MKS Instruments 2259C-11035 Mass Flow Controller Assembly Used Working 1
MKS Instruments 2259C-11035 Mass Flow Controller Assembly 3E1 81 Used Working 1
MKS Instruments 1259C-00100RVA Mass Flow Controller Assembly 3E1 81 Used Working 1
Granville-Phillips 275203 Convectron Pirani Vacuum Gauge 275 Used Working 14
Granville-Phillips 275203 Convectron Pirani Vacuum Gauge 275 Used Working 1
TAZMO E0R05-2661 Pulse Generator PCB Card Semix TR6132U 150mm SOG Used Working 1
TAZMO E0R05-2661A Pulse Generator PCB Card Semix TR6132U 150mm SOG Used Working 2
TAZMO E0R05-1656 Protocol Controller PCB Card Semix TR6132U 150mm SOG Used 2
TAZMO E0R05-1073C Decoder Controller PCB Card Semix TR6132U 150mm SOG Used 12
TAZMO E0R05-1072F Processor Controller PCB Card Semix TR6132U 150mm SOG Used 1
TAZMO E0R05-2708 Driver Receiver PCB Card Semix TR6132U 150mm SOG Used Working 3
TAZMO E0R05-2977A Backplane Connector PCB Board Semix TR6132U 150mm SOG Used 3
TAZMO Main Controller Module 20583 Hours Semix TR6132U 150mm SOG Used Working 1
TAZMO E0R05-1068C Pulse Motor Servo Drive PCB Board Semix TR6132U 150mm SOG Used 20
TAZMO AC Servo Unit Module Yaskawa CACR-PR01KA4BU Semix TR6132U 150mm SOG Used 2
Mattson Technology 299-13000-00 Relay PCB Board 299-13001-00 Used Working 1
Siemens FD63F250 Circuit Breaker FD6-A Sentron Series Used Working 1
TAZMO Temperature Controller Module RKC REX-C 2000 RKC REX-C 2000 Used Working 2
TAZMO E0R05-1625A Temperature Relay PCB Card Semix TR6132U 150mm SOG Used 1
TAZMO E0R05-1812 Operator Panel PCB LM24008M Semix TR6132U 150mm SOG Used 1
Sharp LM24008M 5.4 Inch LCD Transflective Display PCB Semix TR6132U SOG Used 1
TAZMO E0R05-1813 Processor PCB Board PCB Semix TR6132U 150mm SOG Used Working 1
TAZMO E0R05-2979B Interface PCB Board Semix TR6132U 150mm SOG Used Working 3
TAZMO E0R05-1835 Operator Keypad PCB Board Semix TR6132U 150mm SOG Used Working 1
TAZMO E0R05-1838 Connector PCB Board Semix TR6132U 150mm SOG Used Working 1
TAZMO Pressure Gauge Panel Assembly Semix TR6132U 150mm SOG Used Working 3
RKC Instruments REX-G9 Digital Temperature Controller Used Working 1
RFX 1250 AE Advanced Energy 5012-000-J RF Generator 13.56MHz Used Tested Working 1
RFX 1250 AE Advanced Energy 5012-000-B RF Generator 13.56MHz Used Tested Working 1
MDX Pinnacle AE Advanced Energy 3152338-018 Dual Power Supply Untested As-Is 1
Mitsubishi NV225-CS Circuit Breaker Reseller Lot of 2 Used Working 1
Mitsubishi NV225-CF Circuit Breaker Reseller Lot of 2 Used Working 1
Square D 4014001 Magnetic Circuit Breaker Reseller Lot of 2 Used Working 1
CPS Control Process Systems GAS-SSR-MOD Test Module CPS-SSR-GAS-FSM Used Working 2
Rorze RD-323M10 Micro Step Driver Used Working 6
Acopian U24Y350 Unregulated Power Supply Used Working 2
GaSonics 12N-010-13 Intel Mechanization PCB Used Working 2
Omron C40H-C6DR-DE-V1 Programmable Controller SYSMAC C40H Used Working 3
MKS Instruments 152H-P0 Pressure Controller Set 122AA-00010DB Baratron Used 2
Sigmameltec PS-10N Adjustable Atmospheric Presure Switch Reseller Lot of 3 Used 1
Spectronic Genesys 5 Spectrophotometer Milton Roy 336001 Used Tested Working 1
TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used 17
Asyst Technologies 9700-9961-01 RFID Reader Advantag ATR Gateway Used Working 1
Asyst Technologies 9700-9961-01 RFID Reader Advantag ATR Gateway Used Working 1
Leica 567049 Microscope Objective PL Fluotar 2.5x/0.07 �/- KLA 2132 Used Working 1
Leica 567049 Microscope Objective PL Fluotar 2.5x/0.07 �/- KLA 2132 Used Working 1
Leica 567050 Microscope Objective PL Fluotar 10x/0.25 KLA 2132 Used Working 1
Leica 567050 Microscope Objective PL Fluotar 10x/0.25 KLA 2132 Used Working 1
Leica 567051 Microscope Objective PL Fluotar 20x/0.45 KLA 2132 Used Working 1
Leica 567051 Microscope Objective PL Fluotar 20x/0.45 KLA 2132 Used Working 1
Mitsubishi NF100-CWU3 075 Circuit Breaker NF100-CWU Reseller Lot of 4 Used 1
Mitsubishi NV50-FAU 40A No-Fuse Circuit Breaker Reseller Lot of 4 Used Working 2
Mitsubishi NV50-FAU 40A No-Fuse Circuit Breaker Reseller Lot of 4 Used Working 1
SAGInoMIYA RNE-2N200HJ-025 Resistivity Meter TEL Tokyo Electron PR300Z Used 2
Omron ZEN-10C2DR-D-V2 PLC CPU Unit Lot of 2 TEL Tokyo Electron PR300Z Used 1
iH1000 HEAC Edwards A533-42-945 Dry Vacuum Pump System HCDP80 Refurbished 1
iH1800 HEAC Edwards A533-25-945 Dry Vacuum Pump Used Tested Working 1
HiPace 80 Pfeiffer PM P03 940 Turbomolecular Pump with TC110 Used Working 2
Ebara A30W Multi-Stage Dry Vacuum Pump MP-BLOWER Overload Fault Tested As-Is 1
CTI-Cryogenics 8052000 Cryo 8300 Compressor with Adsorber Used Tested Working 2
Ebara A07V Dry Vacuum Pump 2
Ebara A07V Dry Vacuum Pump 1
Ebara A10S-B Multi-Stage Dry Vacuum Pump Blower Fault Tested Not Working As-Is 1
Ebara A30 Dry Vacuum Pump 1
Ebara A30WN Multi-Stage Dry Vacuum Pump 23627 Hours MP-BLOWER Fault Tested As-Is 1
Ebara A70W Dry Vacuum Pump 1
HC30 Kashiyama HC30B Screw Drive Dry Vacuum Pump No Feet Wheels Untested As-Is 1
HC60 Kashiyama HC60B Screw Drive Dry Vacuum Pump Used Untested As-Is 1
AMAT Applied Materials 0190-24484 Transponder and Sensor TLG-I2-AMAT-R1 Used 8
AMAT Applied Materials 0240-50375 Transponder and Sensor TLG-I2-AMAT-R1 Used 3
TDK S2091-86-001 Load Port Power Supply Assembly TAS300 Used Working 4
TDK S2091-86-001 Load Port Power Supply Assembly TAS300 Used Working 14
SMC 5-Port Pneumatic Manifold Lot of 2 SZ3360-5NLOZ-C6 Used Working 7
KLA Instruments 710-658177-20 X-Interpolator Phase 3 PCB Card Rev. F0 Used 2
KLA Instruments DD Assembly Board 710-650044-20 Rev. C4 Used Working 1
Matrox IM-1280/E/1/4/F Video Board Image Series PCB KLA Instruments 2552X Used 1
Matrox IM-CLD/AT/N Trigger and Video Board PCB KLA-Tencor 2552X Used Working 1
KLA Instruments 710-659603-20 Y-SAC Single Axis Controller PCB Card 2132 Used 1
KLA Instruments 710-658770-20 X-SAC Single Axis Controller PCB Card 2132 Used 1
KLA Instruments 710-663509-000 Autofocus AF Control Board PCB Card 2132 Used 1
KLA Instruments 710-650879-20 Dual Stepper Driver PCB Rev. B1 Robot-Z 2132 Used 1
KLA Instruments 710-650879-20 Dual Stepper Drive PCB Shoulder Effector 2132 Used 1
KLA-Tencor 870926 Processor Board TR2B.A.o PCB Card SE-7042 2552X Used Working 1
Orchid 830-0135-1/C Video PCB Card Kelvin 64-VLB KLA Instruments 2132 Used 1
KLA Instruments 941302 Communications Board PCB 23663-001 2132 Used Working 1
SMC 61-600406-003 Ethernet Coax AUI PCB Card KLA Instruments 2132 Used Working 1
KLA Instruments 710-652697-20 AVC PCB Card 2132 Used Working 1
SMC PN4476 Communications PCB Card KLA 730-656503-00 2132 Used Working 1
KLA Instruments 710-653699-20 MIB PCB Card 073-500100-00 2132 Used Working 2
Micromanipulator Co. Series 4300 Probe Station StereoZoom 5 Used Untesed As-Is 1
Aqua Media Ultraviolet Sterilizer 1
Seiko Seiki STP-H1301L1 Turbomolecular Pump in pieces 1
Ebara PDV250 Dry Vacuum Pump 2
Perkin-Elmer REBG-PF-400 DI Ultek D-I Differential Ion Pump +HV Diode Used As-Is 1
TDK TAS-LED Load Port Indicator Light Board PCB TAS300 Used Working 17
KLA Instruments 740-658986-00 Pneumatic Vibration Isolator Set of 4 2132 Used 1
KLA Instruments ZPOD 200mm Wafer Handling Robot 710-657412-20 2132 Tencor Used 1
KLA Instruments ZPOD 200mm Wafer Handling Robot 710-657412-20 2132 Tencor Used 1
UNIT Instruments 1100-100039 Mass Flow Controller UFC-1100A 10 SLM Ar Used 1
APTech AP3550S 2PW FV4 FV4 Springless Diaphragm Valve Lot of 2 Used Working 6
APTech AP3625S 2PW FV4 FV4 Manual Diaphragm Valve Reseller Lot of 6 Used 1
Steag RTP Systems 7100-7870-06 AC Power Supply Used Working 1
Fujikin Incorporated WVG-S2-Y-I38 Water Vapor Generator Used Working 1
Fujikin Incorporated WVG-S2-Y-I35 Water Vapor Generator Used Working 1
CTI-Cryogenics 8039345 Cryopump Pressurized Hose Lot of 4 Used Working 1
CTI-Cryogenics 8043074 Cryopump Pressurized Hose G036 Used Working 1
KLA Instruments 200mm Left Wafer Cassette Loader Stage 740-651233-01 2132 Used 1
KLA Instruments 200mm Left Wafer Cassette Loader Stage 740-651233-01 2132 Used 1
KLA Instruments 200mm Right Wafer Cassette Loader Stage 740-651233-02 2132 Used 1
KLA Instruments 200mm Right Wafer Cassette Loader Stage 740-651233-02 2132 Used 1
KLA Instruments Illuminator Power Supply Assembly Oriel 68868 2132 Used Working 1
KLA Instruments Illuminator Power Supply Assembly Oriel 68868 2132 Used Working 1
Reliance Motion Control MC-430 Brush Servo Drive Electro-Craft MAX-430 Used 1
Todd Products MTX-253-0512F Component Type Custom Rectifier LR44594 Used Working 1
Todd Products SC24-11F Component Type Custom Rectifier LR44594 Used Working 1
OnTrak Systems 28-8875-002 OPTO Output Board PCB Used Working 4
OnTrak Systems 22-8875-003 COMM Board PCB Used Working 1
Gespac ICU-2A Inteconnect PCB Card GESICU-2A 8549 OnTrak DSS-200 Used Working 1
OnTrak Systems 22-8875-005 Quad Micro Stepper PCB Card Used Working 3
Gespac GESPIA-2A 8835 PCB Card PIA-2A OnTrak DSS-200 Used Working 5
Gespac ADC-12A PCB Card GESADC-12A 9310 GESPCB-334 OnTrak DSS-200 Used Working 1
Gespac MFI-1 PCB Card GESMFI-1 9119 OnTrak DSS-200 Wafer Scrubber Used Working 1
KLA-Tencor 073-775012-00 Video Switch Module 2552X Analysis Station Used Working 1
KLA-Tencor 710-658268-00 Y AMP Filter Board PCB 073-658267-00 2552X Used Working 1
Bay Pneumatic BES4326 6-Port Manifold KLA Instruments 740-654246-00 2132 Used 1
MRC Materials Research PBA 618793-002 Control PCB PSBC221S Eclipse Used Working 1
Intel PBA 115970-008 Multibus PCB Card MRC Eclipse Star Used Working 2
RadiSys 61-0575-10 PCB Card 60-0262-01 Eclipse Star 68-0070-11 Used Working 1
MRC Materials Research 883-90-000 PCB Card Rev. A Eclipse Star Used Working 3
MRC Materials Research 883-90-000 PCB Card Rev. X4 Eclipse Star Used Working 1
Intel PBA 143461-015 Single Board Computer 88/40A PCB MRC Eclipse Used Working 2
Swagelok SS-BNVCR4 Bellow-Sealed Valve Lot of 2 Used Working 1
APTech AP1410S 2PW FV4 FV4 Manual Diaphragm Valve Used Working 1
Swagelok SS-BNV51-C Bellow-Sealed Valve Lot of 2 Used Working 1
Dynamic Display QES1014A-203 Operator Interface MRC Eclipse Star Used Working 1
Electrohome 14H948GE2 Operator Interface Monitor 38-C05IMA-OP MRC Eclipse Used 1
LH Research 851902-003 Power Supply EM1501-3/115 A1 Lot of 2 MRC Eclipse Used 3
RKC Instruments CB100 Digital Temperature Controller Used Working 8
HPS Vacuum Products NW40 Wing Nut Clamp KF40 Lot of 25 MKS Edwards Nor-Cal Used 5
KLA Instruments 655-653668-00 Microscope Turret Assembly 740-651223-00 2132 Used 1
KLA Instruments 655-653668-00 Microscope Turret Assembly 740-651223-00 2132 Used 1
Eastern Air Devices LA23GCKC-2A1 Focus Zoom Motor KLA 740-659653-00 2132 Used 2
Eastern Air Devices LA23GCKC-2A1 Focus Zoom Motor KLA 740-659653-00 2132 Used 2
KLA Instruments 655-658899-00 Laser Optics Lens and Mirror Assembly Used Working 1
KLA Instruments 760-651952-00 Laser Optics Lens Assembly 2132 Used Working 1
Lambda LFS-40-2 Regulated Power Supply KLA Instruments 2132 Used Working 1
MRC Materials Research Corp A128814 Power Supply Eclipse Star Used Working 1
Power-One MAP130-1012 DC Power Supply Reseller Lot of 2 Used Working 1
Power-One MAP180-1005 DC Power Supply MAP180-4003 Reseller Lot of 3 Used Working 1
Power-One HBB5-3/OVP-A Power Supply International Series Reseller Lot of 3 Used 1
Turbo-V 70D Varian 9699361 Turbomolecular Pump and Controller Set 969-9505 2
Edwards iH600 Dry Pump Package NEW LOOK 5
Edwards iH600 Dry Pump Package 5
Power-One HB120-0.2-A Compact Power Supply HAA15-0.8-A Reseller Lot of 6 Used 1
Power-One HE15-9-A Power Supply HE24-7.2-A HDCC-150W-A Reseller Lot of 3 Used 1
LH Research 851902-003 Power Supply EM1501-3/115 A1 Reseller Lot of 2 Used 1
Nemic-Lambda RWS100A-12/A Compact Power Supply RWS 100A Used Working 1
Nemic-Lambda RWS100A-15/A Compact Power Supply RWS 100A Used Working 2
Asyst Technologies 9700-8107-01 300mm Wafer Load Port 300FLS2,HAMA ROX/E84 Used 1
Ebara Technologies 80x25 Multi-Stage Dry Vacuum Pump System Untested As-Is 1
Nemic-Lambda EWS LUS Series Compact Power Supply Reseller Lot of 8 Used Working 1
Indramat 222721 Servo MAC112C-0-ED-1-B/130-A-0/-I00625/S005 AMAT 1080-90009 Used 1
Edwards UHV Straight Reducer Nipple Adapter ISO250 ISO-F to 14.125" 12-Hole Used 1
AG Associates 7100-5425-03 Six Gas Interlock PCB 7310-4323-01 Used Working 2
Omron CPM1A-40CDR-D Programmable Controller CPM1A-20EDR I/O Unit Used Working 2
KLA Instruments 710-658076-20 Rev. C0 Defect Processor PCB 2132 Used Working 1
KLA Instruments 710-658232-20 Rev. G0 K.L.A. Memory Controller Phase 3 PCB Used 1
VAT 16548-PA21-AAB1 Pneumatic Pendulum Isolation Valve Series 16.5 New Surplus 2
VAT 92548-PA21-AAK1 Pneumatic Pendulum Isolation Valve AMAT 3870-02618 New 1
Shimadzu EI-D3603M Prototype1 Turbomolecular Pump Controller Used Tested Working 1
TURBOTRONIK NT 20 Leybold 857 20 Pump Controller NT20 V1.4 Used Tested Working 1
RF30H RFPP RF Power Products 660-093816-001 RF Generator 7522170011 Tested As-Is 1
CPS-250 Comdel CPS-250/3.39 RF Power Source 250W @ 3.39Mhz Used Tested Working 1
PDX 1250 AE Advanced Energy 3156024-030 B RF Generator Used Tested Working 1
PDX 500 AE Advanced Energy 3156024-105 RF Generator F/R B Used Tested Working 1
Edwards Seiko Seiki SCU-A1603C 2
PDX II AE Advanced Energy 3150310-100A Plasma Drive 2000 Used Tested Working 1
Yaskawa XU-RC350D-D91 Dual End Effector Wafer Handling Robot Untested As-Is 3
Yaskawa XU-RC350D-D91 Dual End Effector Wafer Handling Robot Untested As-Is 2
iGX100L Edwards A591-10-958 Dry Vacuum Pump No Power Tested Not Working As-Is 1
KLA Instruments 710-657068-20 AF Pulse Sensor Preamp Rev. EBO 2132 Used Working 1
Riken Keiki RKP-62069 Indicator Alarm H2 Sensor GP-581 Lot of 2 Used Working 1
Asyst Technologies 3200-1112-01 PCB Card 3000-1112-01 Lot of 5 Used Working 1
PITTMAN GM8712J110 Servo Motor LO-COG Used Working 5
PITTMAN 14202D764 Servo Motor LO-COG Used Working 2
KLA Instruments 710-659412-00 Rev. B0 Mass Memory PCB 700-659412-00 2132 Used 2
KLA Instruments 710-658041-20 Rev. C0 Alignment Processor Phase 3 PCB 2132 Used 1
KLA Instruments 710-658363-20 Rev. C0 DF Assembly Board 2132 Used Working 1
KLA Instruments 710-650099-20 Rev. L0 KLA DP PCB Card 073-650098-00 2132 Used 1
KLA Instruments 710-659274-20 Rev. E0 KLA PLA-8 Assembly 2132 Used Working 1
KLA Instruments 710-658046-20 Rev. E0 Processor Board 2132 Used Working 1
KLA Instruments 710-659412-00 Rev. C1 Alignment Processor (AP1) Phase 3 Used 1
KLA Instruments 710-659724-00 Defect Filter PCB Card Rev. C0 2132 Used Working 1
KLA Instruments 710-658086-20 Rev. D0 Interface 1 Phase 3 PCB 2132 Used Working 1
KLA Instruments 710-652840-20 Rev. D3 KLA RIF PCB 2132 Used Working 1
KLA Instruments 710-659465-20 Rev. A0 8IB PCB Card 2132 Used Working 1
KLA Instruments 710-651090-20 Rev. H0 PCB Board 073-651089-00 2132 Used 1
Brooks Automation WTM511-2-FWS02-V1-CU Wafer Robot AMAT 0190-08246 Copper Used 2
Brooks Automation 6-0002-0706-SP Wafer Robot WTM AMAT 0190-08246 Copper Used 1
Brooks Automation 6-0000-4663-PC Wafer Robot AMAT 0195-02883 Copper Exposed Used 1
Brooks Automation WTM-511-2-FWS02-V1 Wafer Handling Robot AMAT 0190-08245 Used 1
Yaskawa XU-RC350D-D91 Dual End Effector Wafer Handling Robot Incomplete As-Is 1
Burkert DA2.S Flow Switch Damper Actuator Tee Valve New Surplus 1
Haug Biel AG HB-0521 Static Line Charge Eliminator 01.7780.400 EN SL RLC New 1
Tektronix 7603 Mobile Oscilloscope Scope-Mobile 203-2 Used Tested Working 1
Veeco MS-9 Leak Detector System Welch Duo-Seal 1400 Tested No Working As-Is 1
Saia-Burgess Controls PCD3.M3120 Central Processing Unit Saia PCD New Surplus 1
Grundfos 49Z52251-P1-0522 002 05 Vertical Pump TP 40-160/2 X-6-A-RUUE New 1
KLA Instruments 710-658787-00 Light Level Sensor PCB 2132 Used Working 1
KLA Instruments 710-657058-20 A/F LED Driver PCB Set of 2 2132 Used Working 1
Mitsubishi RV-E14NHC-SA06 Industrial Robot HTR QC-20C-S44 Used untested As-Is 1
Mitsubishi RV-E14NHC-SA06 Industrial Robot HTR Missing Cover untested As-Is 1
Danfoss 178B7654 Frequency Converter VLT 5000 VTL5016PT5C20STR3DLF13A00C0 New 1
Bosch 1070917161-101 Servo Module SM-4.7/20-G16 B48674-003 MOOG Used Working 2
Bosch 1070917160 Servo PCB Module SM 3.5/8 G16 B48359-003 MOOG Used Working 1
Bosch 1070917160-101 Servo PCB Module SM 3.5/8 G16 B48359-004 MOOG Used Working 1
Saia-Burgess PCD7.D202 Text Terminal Display Module New Surplus 1
IC Electronic 97-00-34 P-Line AC Semiconductor Contactor SC 3 DD 4020 New 1
Vacuubrand MZ 2C Chemistry Diaphragm Vacuum Pump Used Tested Working 1
Belimo AM230-S Open Close Damper Actuator 230VAC 18Nm New Surplus 1
WEG Motors and Drivers GG24765 Electric Motor 220-480VAC New Surplus 1
Matrix System 10 Style 1104 100-200mm Wafer Descum System Chamber Untested As-Is 2
Ebara A30W Multi-Stage Dry Vacuum Pump 20092 Hours VAC2D Used Tested Working 1
Ebara A30W Multi-Stage Dry Vacuum Pump 9008 Hours with VAC2D Used Tested Working 1
QMB500 Edwards A302-86-905 Mechanical Booster Vacuum Pump 60Hz QMB Refurbished 5
TMU 262 X Pfeiffer PM P03 115 Turbomolecular Pump with TC100 Refurbished 1
HiPace 80 Pfeiffer Vacuum PM P03 940 A Turbo Pump w/TC 110 Used Tested Working 1
HiPace 80 Pfeiffer Vacuum PM P03 940 A Turbo Pump w/TC 110 Used Tested Working 4
TMH 071 P Pfeiffer PM P02 980 C Turbomolecular Pump Turbo Used Tested Working 1
AMAT Applied Materials 0100-90025 24V 1A Power Supply PCB Card Issue Y XR80 Used 1
AE Advanced Energy 3157507-011 PE-10K 2
AE Advanced Energy 3157512-006 PE Power Pack F/R A 1
AE Advanced Energy 3157512-006 PE Power Pack F/R C 4
AE Advanced Energy 3157512-006 PE Power Pack F/R D 7
AE Advanced Energy 3157512-008 PE Power Pack F/R C 1
AE Advanced Energy 3157515-000 PE-10/20K 1
AE Advanced Energy 7512-006-A PE Power Pack 1
AE Advanced Energy 7512-006-B PE Power Pack 1
AE Advanced Energy 7514-002A PE-20/30K 2
AE Advanced Energy 7515-000-A PE-20/30K 1
AE Advanced Energy 7515-000-C PE-10/20K 1
VAT 14044-PE44-0004 HV Pneumatic Actuated Gate Valve Used Working 4
AMAT Applied Materials 0100-90831 Scan Clock PCB Card 0120-93033 XR80 Used 1
TURBOTRONIK NT 20 Leybold 857 21 Pump Controller NT20 SW2.6 Used Tested Working 1
Halmar Robicon 115Z-C SSR Power Control Panel 22159 Reseller Lot of 2 Used 7
Ebara A10S Multi-Stage Dry Vacuum Pump with 30997 Hours Used Tested Working 1
MDX-052 AE Advanced Energy 2052-000-B Magnetron Remote Interface Used Working 1
MDX-052 AE Advanced Energy 2052-018A Magnetron Remote Interface Used Working 2
MDX-052 AE Advanced Energy 2052-018-B Magnetron Remote Interface Used Working 1
Ebara A10S Multi-Stage Dry Vacuum Pump with 50538 Hours Used Tested Working 1
Ebara A10S Multi-Stage Dry Vacuum Pump with 49499 Hours Used Tested Working 1
Ebara A10S Multi-Stage Dry Vacuum Pump with 30634 Hours Used Tested Working 1
Ebara A30W Multi-Stage Dry Vacuum Pump 27941 Hours MP-BLOWER Fault Tested As-Is 1
Ebara A30W Multi-Stage Dry Vacuum Pump 7884 Hours MP-MOTOR Fault Tested As-Is 1
CTI-Cryogenics 8052000 Cryogenic Helium 8300 Compressor Used Tested Working 1
Leybold 160 91 Pressure Switch PS 112 Ex E Ex ib II C T6 Used Working 1
Leybold 287 25 B1 Right Angle Pneumatic Vacuum Valve ISO63 Used Working 1
Pradler-Getriebetechnik 92090185 Linear Actuator Assembly Used Working 1
Leybold 160 04 Vacuum Pressure Switch PS 115 Used Working 2
Leybold 297 21 Right Angle Pneumatic Vacuum Valve NW16 Used Working 2
Nor-Cal Products CSTVP-1502-CF-S12 Pneumatic Straight-Through Poppet Valve Used 3
Nor-Cal Products CSTVP-1502-CF Pneumatic Straight-Through Poppet Valve Used 1
PMT MORI 200 RF-Driven Helicon Plasma Source 13.56 MHz Used Working 1
Rorze Automation RC-233 Generate Master I/O Controller Module Used Working 1
Nor-Cal Products 11222-0400R Pneumatic Linear Gate Valve Used Working 2
Vacuum Apparatus 125-0400 UHV Pneumatic Linear Gate Valve Used Working 1
Granville-Phillips 307091 Rack Mount Vacuum Gauge Controller 01 Used Working 1
Ebara VIF70AM1 Vacuum Control Panel Interface Module AMAT P5000 Used Working 1
Ebara Vacuum Control Panel Interface Module Omron H3BH AMAT P5000 Used Working 1
MKS Instruments 100760116 ISO160 ISO-K Flange Blank-Off LF160 HPS Lot of 5 Used 1
Tencor Instruments 077860 PCB Card 058629 Surfscan 4500 KLA-Tencor Used Working 1
Tencor Instruments CDI CPU-9 MM/BS PCB Card Surfscan 4500 KLA-Tencor Used 2
Tencor Instruments 077054 PCB Card 077046 Surfscan 4500 KLA-Tencor Used Working 1
DY4 Systems DY00469-H-A1-304D 10/83 PCB Card Tencor 060534 Surfscan 4500 Used 2
SPC STD-Z80 CPU II Processor Card PCB Tencor 063983 KLA Surfscan 4500 Used 1
DY4 Systems DY00485-H-A1-3 Processor PCB Card Tencor Surfscan 4500 Used Working 2
DY4 Systems PD-STD503-000-001 Processor PCB Card Tencor Surfscan 4500 Used 2
Tencor Instruments 054135 PCB Card 054143 Surfscan 4500 KLA-Tencor Used Working 1
Tencor Instruments 128066 PCB Card 128074 Rev. C Surfscan 4500 KLA-Tencor Used 1
Tencor Instruments 054291 Keyboard Panel PCB Surfscan 4500 KLA-Tencor As-Is 1
Tencor Instruments 079553 Photomultiplier Assembly R2066-03 Surfscan 4500 Used 1
General Scanning Z1986 Laser Mirror Tencor Surfscan 4500 KLA-Tencor Used Working 2
Tencor Instruments Wafer Cassette Sender/Reject Indexer Surfscan 4500 KLA Used 1
Tencor Instruments Wafer Cassette Accept Indexer Surfscan 4500 KLA Used Working 2
Optem International 25-81-01 HF Video Microscope Electroglas 4085x Horizon Used 2
Optem 28-90-77 HV Video Microscope Stage Electroglas 4085X Olympus SZ-STP Used 2
Electroglas 255901-001 200mm Wafer Prober Stage Electroglas 4085X Used Working 2
Electroglas 256266-001 3 CCD Camera Logic Board PCB Electroglas 4085X Used 2
Electroglas 248228-002 QIK LDR/WFR Sensor Interface Board PCB Rev. P 4085X Used 1
Electroglas 200mm Wafer Handling Linear Transport 247012-001 4085X Used Working 2
Electroglas 200mm Wafer Prealigner Inspection Stage Camera 256286-001 4085X Used 1
Edwards C41211000 Right Angle Isolation Valve PV16PKA B Used Working 1
Computer Products PM342 Power Conversion Linear Series Reseller Lot of 4 Used 1
Omnitron Systems 4320 Ethernet Fiber Media Converter FlexPoint 10T/2 Used 1
SMC VXZ2240L Pilot Operated Solenoid Valve VXZ Reseller Lot of 4 Used Working 1
MKS Instruments 141AA-00010BB-S Baratron Vacuum Switch Used Tested Working 12
MKS Instruments 141AA-00001BB-S Baratron Vacuum Switch Used Tested Working 10
MKS Instruments 141A-13670----S Baratron Vacuum Switch Used Tested Working 1
MKS Instruments CT27A13TDC910 Digital Baratron CommunicaTorr Used Tested Working 4
MKS Instruments CT27A11TDC910 Digital Baratron CommunicaTorr Used Tested Working 3
MKS Instruments 627A.1TAD-----S Baratron Transducer Used Tested Working 12
Balzers TPG 252 A Vacuum DualGauge TPG-252A TPG-252-A Used Tested Working 1
MKS CV7627B-41 Baratron Vacuum Isolation System 627B-27102 Tested Used Working 1
MKS CV7627A-05 Vacuum Isolation System 627A.1TAD-----S 722A Tested Used Working 1
MKS Instruments 225A-25538 Baratron Differential Transducer AMAT 0190-17150 New 2
MKS Instruments 850B12PCD2GC Baratron Pressure Transducer AMAT 1350-01303 New 1
MKS Instruments 51A13TCA1AA999 Mini Vacuum Pressure Switch AMAT 1350-01331 New 3
MKS Instruments 51A13TCA1BA800 Mini Baratron Vacuum Pressure Switch New Surplus 1
MKS Instruments 51A13TCA2BA800 Mini Baratron Vacuum Pressure Switch New Surplus 1
MKS Instruments 51A13TCA2AA800 Mini Baratron Vacuum Pressure Switch New Surplus 1
MKS Instruments 750C13TCE2GA Absolute Pressure Transducer Used Tested Working 3
MKS Instruments 750B11TCD2GG Absolute Pressure Transducer Used Tested Working 16
ASTeX Applied Science AX7650 Remote Plasma Source ASTRON Used Tested Working 1
MKS Instruments 51A13TCA1AA850 Baratron Pressure Switch Used Tested Working 2
MKS Instruments 51A11TCA2BA002 Baratron Pressure Switch Used Tested Working 1
MKS Instruments 51A13TCA1AA999 Baratron Pressure Switch Used Tested Working 1
MKS Instruments 51A13TCA2BA700 Baratron Pressure Switch Used Tested Working 1
MKS Instruments 41A12DCA2BA050 Baratron Pressure Switch Used Tested Working 1
MKS Instruments 41A11DCD2BA003 Baratron Pressure Switch Used Tested Working 1
MKS Instruments 127A-13431 Baratron Pressure Transducer Tested Not Working As-Is 1
MKS Instruments 141A-11442----s Vacuum Switch Tested Not Working As-Is 1
MKS Instruments R750B11TCD2GG Baratron Signal Conditioner Module Used Working 2
MKS Instruments R750B-14606 Baratron Signal Conditioner Module Used Working 2
MKS Instruments CV7627A-05 Isolation System Signal Conditioner Module Used 1
MKS Instruments CV7627A-05 Isolation System Signal Conditioner Module Used 1
MKS Instruments CV7627A-05 Isolation System Signal Conditioner Module Used 3
MKS Instruments CV7627A-01 Isolation System Signal Conditioner Module Used 1
MKS Instruments 627A.1TAD-----S Baratron Pressure Transducer Used Tested As-Is 3
MKS Instruments 627A.1TAD-----S Baratron Transducer No Output Used Tested As-Is 1
MKS Instruments 750B11TCD2GG Baratron Pressure Transducer Used Tested Working 1
TMH 071 P Pfeiffer Vacuum PM P02 980 C Turbomolecular Pump with TC100 Used 6
TMU 262 X Pfeiffer PM P03 115 Turbomolecular Pump w/TC100 70955 Tested Working 1
Leeson C42D17FK1C Direct Current Permanent Magnet Motor Reducer W6215034 Used 2
A-B Allen-Bradley 161S-AA04NPU Adjustable Frequency Drive Series B Used Working 1
Carlo Gavazzi SSRM2.2 Relay Assembly RA4890-D12 90A @ 480VAC Used Working 2
Modus Instruments T30-09E Pressure Transmitter Reseller Lot of 3 Used Working 1
GE Fanuc Series 90-30 Programmable Controller IC693PWR321AA Lot of 4 Used 1
Schmitt-Kreiselpumpen MPN 130 Fluid Pump Emod Motoren Type 71L/2 Used Working 2
GE Fanuc Series 90-30 10-Slot PLC Controller System IC693CPU313V Used Working 1
DNS Screen CEMB-0015 Main Power Distribution Module SC-W60A-AV Used Working 1
DNS Dainippon Screen CESB-2012 Processor PCB Card BP-0008B SC-W60A-AV Used 2
DNS Dainippon Screen CEBB-1011 Bake Controller PCB Card AP-1031D AP-1059B Used 1
Ebara 1606W-TF Turbo-Molecular Pump Controller AET16-4490C - TEST 1
Tylan General CMLB-11S06 Baratron Capacitance Gauge CML Used Tested Working 1
Tylan General CMLB-11S06 Baratron Gauge Millipore CMLB1106 Used Tested Working 2
Millipore CMLB-11S06E Baratron Capacitance Gauge CMLB1106E Used Tested Working 2
Tylan General CMLA-21 Baratron Gauge Lam 853-017643-003-D Used Tested Working 2
Tylan General CMLA-21 Baratron Lam 853-017643-003-HY-LEAN Used Tested Working 1
Tylan General CMLA-21 Baratron Lam 853-017643-003-H-LEAN Used Tested As-Is 1
Tylan General CMLA-21 Baratron Lam 853-017643-003-F-C139 Used Tested Working 1
Millipore CMLA-21 Baratron Gauge Lam 853-017643-003-H-LEAN Used Tested Working 1
AMAT Applied Materials Bezel and Front Panels Precision 5000 Mark II P5000 Used 1
AMAT Applied Materials 0190-38830 Slit Valve Door Plate New Surplus 1
AMAT Applied Materials 0010-76036 5000 Platform Mini-Contoller P5000 MKII Used 1
APTech AP3625SM DUAL V AM/2 Manual Line Regulator Reseller Lot of 3 Used Working 1
Swagelok 6LV-DAFR4-P-BL-6820 Manual Diaphragm Valve Reseller Lot of 4 Used 4
Total Control 0980011-01 Teach Pendant Operator Interface Used Working 1
iL70N Edwards A533-55-945 Dry Vacuum Pump Copper Exposed Used Tested Working 1
iL70N Edwards NRB4-46-945 Dry Vacuum Pump 50860 Hours Copper Used Tested Working 1
Pentagon Technologies 16-126664-01 1 Stage Shield Novellus New Surplus 1
AMAT Applied Materials 0010-76001 5000 Cleanroom Storage Elevator P5000 Used 1
DNS Dainippon Screen 2VC12556 Interconnect Module SC-W60A-AV Used Working 1
DNS Dainippon Screen CEMR-0011 Power Module AP-1224B SC-W60A-AV Used Working 1
Oriental Motor UDX5107 5-Phase Driver Super Vexta Used Working 1
DNS Dainippon Screen AP-1186B Start Stop Keypad Board PCB SC-W60A-AV Used 1
Sanoh IDP-0-2 Start Stop Keypad Board PCB Screen SC-W60A-AV Used Working 1
AMAT Applied Materials 0010-09263 Precision 5000 Pneumatic Panel 0100-09008 Used 1
AMAT Applied Materials 0100-09134 DIO Fuse Board PCB P5000 Precision 5000 Used 5
AMAT Applied Materials 0100-09107 TEOS Gas Interface PCB Precision P5000 Used 1
AMAT Applied Materials 0100-09106 Expanded Gas Panel Interface PCB P5000 Used 1
AMAT Applied Materials 0100-09224 Expanded RS232 Interconnect PCB P5000 Used 1
AMAT Applied Materials 0100-70019 Controller Distribution WPS PCB P5000 Used 1
AMAT Applied Materials 0100-35180 DPA System Distribution Board PCB P5000 Used 1
AMAT Applied Materials 0100-09362 DPA DIDO Piggy-Back Board PCB P5000 Used 1
AMAT Applied Materials 0100-09153 Gas Panel Board PCB P5000 Precision 5000 Used 1
AMAT Applied Materials 0100-09237 Dual FREQ Wiring Interconnect PCB P5000 Used 1
AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. G Used Working 1
Edwards C41646000 Pneumatic Isolation Valve IPV40PKA-NPT Used Working 1
IPUP E100L Edwards A535-72-945 Dry Vacuum Pump 1072 Hours AMAT Tested As-Is 1
Micromanipulator Model 110 Precision Pneumatic XYZ Right Probe Positioner Used 1
AMAT Applied Materials 0226-48119 Time Delay Panel Precision 5000 P5000 Used 1
AMAT Applied Materials 0100-09011 AI MUX/CURRENT SENSE PCB Rev. G P5000 Used 1
AMAT Applied Materials 0100-00014 OPTO Detect PCB Card Precision 5000 P5000 Used 1
AMAT Applied Materials 0100-09009 Buffer I/O PCB Card Rev. H Precision 5000 Used 1
Lambda Electronics LIS-7I-15 Power Supply PCB Card +15VDC Used Working 1
Lambda Electronics LIS-7I-15 Power Supply PCB Card +15VDC Used Working 1
Lambda Electronics LIS-7I-15 Power Supply PCB Card +15VDC Used Working 4
AMAT Applied Materials 0100-11002 Digital I/O PCB Card Precision 5000 P5000 Used 6
AMAT Applied Materials 0010-70001 8" Cassette Handler 0223-09246 P5000 Used 1
AMAT Applied Materials 0010-13445 Stand Alone VGA Monitor Base P5000 Used 1
CTI-Cryogenics 3620-00503 IS-1000 Compressor LV AMAT Used Tested Working 2
CTI-Cryogenics 3620-00503 IS-1000 Compressor LV AMAT Used Tested Working 1
CTI-Cryogenics 3620-00503 IS-1000 Compressor LV AMAT Used Tested Working 1
McLean Engineering UES17H115S29 Fantray AMAT 0190-70066 P5000 Used Working 2
TMH 071 P Pfeiffer Vacuum PM P02 980 C Turbomolecular Pump with TC100 Used 1
TMH 071 P Pfeiffer Vacuum PM P02 980 C Turbomolecular Pump with TC100 Used 2
TMU 262 X Pfeiffer PM P03 115 Turbomolecular Pump w/TC100 36967 Tested Working 1
AMAT Applied Materials 0021-78097 UPA Manifold Assembly ITV2031-31N3N4-X97 Used 1
MRC Materials Research D119691 12" Preclean Bell Jar 4629211-0001 Eclipse Used 3
MDC Vacuum Products KAV-100-P-SP Pneumatic Angle Valve HV Series Used Working 2
MDC Vacuum Products KAV-100-P-SP Pneumatic Angle Valve HV Series Used Working 1
MRC Materials Research A112936 Power Supply Assembly Eclipse Star Used Working 2
MRC Materials Research A118037 Pneumatic Manifold Set of 2 Eclipse Star Used 1
Deltron Q5-3.0 Power Supply Reseller Lot of 2 MRC Eclipse Star Used Working 1
Hitachi FFL100-HB11 EMC Compatibility Filter 3T016025-1 Used Working 1
HiPace 80 Pfeiffer PM P03 940 Turbomolecular Pump with TC 110 Used Working 1
TeleFrank TEP110-24 AC-DC Converter 013500-510-27 Power Supply Used Working 5
TDK TAS-RIN8 Backplane Interface Board PCB TAS300 300mm Load Port Used Working 4
TDK TAS-CNEXT Load Port Interface Board PCB Reseller Lot of 2 TAS300 Used 2
TDK TAS-IN6 Backplane Interface Board PCB Rev. 1.10 TAS300 Load Port Used 1
TDK TAS-IN6 Backplane Interface Board PCB Rev. 1.20 TAS300 Load Port Used 1
TDK TAS-IN6 Backplane Interface Board PCB Rev. 2.30 TAS300 Load Port Used 1
TDK TAS-RIN11 Backplane Interface Board PCB Rev. 1.30 TAS300 Load Port Used 1
TDK TAS-RIN16 Backplane Interface Board PCB TAS300 Load Port Used Working 3
TDK TAS-IN8 Backplane Interface Board PCB Reseller Lot of 4 TAS300 Used Working 1
TDK TAS-IN12 Backplane Interface Board PCB Reseller Lot of 4 TAS300 Used Working 1
TDK TAS-SW LED SW1 Indicator Board PCB Rev. 5.10 TAS300 Load Port Used Working 2
TDK TAS-LED Indicator Light Board PCB Rev. 6.01 300mm TAS300 Load Port Used 1
TDK TAS-LED Indicator Light Board PCB Rev. 5.11 300mm TAS300 Load Port Used 1
Brooks Automation 812100055 LED Light Board PCB 013501-155-17AEZ02 TAS300 Used 3
TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.10 TAS300 Load Port Used Working 1
AMAT Applied Materials 0190-24484 Transponder ASC-I1 With Sensor 0190-10813 Used 2
Brooks Automation TLG-I2-FL6M-01 Transponder Reader Sensor ANT-ID2-INFIXR6M Used 5
Hermos TLG-I1-AMAT-01 Transponder Reader ASC-I1 Set with Sensor ANT-2K15 Used 2
Omron V640-HAM11-V2 RFID Amplifier Unit with CIDRW Head V640-HS61 Used Working 1
Sanyo Denki PMDPC1C3PA0 PM Driver AMAT 1080-00202 SD Stepper 0130-00537 Used 1
Asyst Technologies 9700-9961-01 RFID Reader Advantag ATR Gateway Rev. E Used 1
EXT 70H 24V Edwards B722-26-991 Turbomolecular Pump ISO100 Used Working 1
IPX 500 Edwards NXD5-14-000 Dry Vacuum Pump Needs Rebuild Used Tested Working 1
SV65 Leybold SOGEVAC SV65BIFC Vacuum Pump SV 40/65 Oil in Intake Tested As-Is 1
TURBOVAC 360CSV Leybold 00903 Turbomolecular Pump ISO100 Used Working 1
Inficon 250-220 Pneumatic Vacuum Angle Valve VAP025-A Used Working 2
Inficon IGG26000 Compact Full Range Vacuum Gauge PKR251 Used Working 1
Inficon IGG26750A Compact Pirani Vacuum Gauge TPR265 Used Working 1
VAT 14046-PE44-AAL1 Pneumatic High Vacuum Gate Valve Used Working 1
Granville-Phillips 275 Convectron Gauge Controller Used Tested Working 2
Beijer Electronics 02440G Graphic Operator Terminal Interface E700 Used Working 1
Kokusai Electric D2E01306 PCMCIA PC Card Reader PCB CARD2-IF A/0 Vertron Used 2
Kokusai Electric D2E01362 Processor Board PCB LCONT2/A0 Vertron Used Working 1
Kokusai Electric N214-1003 Vertron Keypad Input Board PCB Used Working 1
Kokusai Electric D3E01195A Video Graphic Board GRAPH A/1 PCB Vertron Used 1
Sharp LJ512U25 EL Display LCD Panel Screen 8.6" 640x480 Used Working 1
Kokusai Electric CX1103 Tube Controller Operator Interface CX-2000 CX13IPL As-Is 2
Varian Semiconductor Equipment SD-40 Rotary Vane Vacuum Pump Used Tested Working 3
TCP 380 Pfieffer PM C01 680 Turbomolecular Pump Controller - Test 1
CTI-Cryogenics 8096-013 G001 Cryogenic Compressor Helix - Test 1
EXT250 HP Edwards B74004000 R Turbomolecular Pump Untested As-Is 1
Varian 843 Vacuum Ioniziation Gauge Controller - Test 1
Nikon 2S700-583-1 CCCNT Board PCB Card 2S014-036-4 V2.31 OPTISTATION 3A Used 1
MKS Instruments PDR-C-2C Power Supply Readout - Test 1
MKS Instruments PDR-C-1B Power Supply Readout - Test 1
Leroy Somer UL-E68554-G LSMV Vacuum Pump Induction Motor Used Tested Working 1
Osaka Vacuum TGI300 Compound Turbomolcular Pump Used Untested As-Is 2
Yaskawa SGDA-02A12A Servo Drive Servopack 200V with Cable Set Used Working 5
Sanyo Denki PM-UDPD2A01-30 Servo Drive PM Driver Used Working 3
TEL Tokyo Electron U1650B10 Backplane Interface Board PCB Used Working 1
TEL Tokyo Electron U1600B10 Connector Interface Board PCB Used Working 1
SVG Silicon Valley Group 99-80295-01 Power Supply Safety Reset PCB Rev. E Used 3
SVG Silicon Valley Group 99-80295-01 Power Supply Safety Reset PCB Rev. A Used 1
STEC SEF-4500M Mass Flow Meter SEF-4500 10 LM N2 Used Working 2
STEC SEC-4500MC-SUC-016 Mass Flow Controller SEC-4500 10 LM H2 Used Working 1
UNIT Instruments UFM-1100 Mass Flow Meter 200 SCCM N2 Used Working 6
UNIT Instruments 1100-100137 Mass Flow Meter UFM-1100 200 SCCM N2 Used Working 1
UNIT Instruments 1100-100137 Mass Flow Meter UFM-1100 200 SCCM N2 Used Working 1
UNIT Instruments 1100-100232 Mass Flow Meter UFM-1100 200 SCCM N2 Used Working 3
Force Computers 100247 Rear I/O Transition Module PCB Board SYS 68K/IOBP-1 Used 2
Schroff 23000-041 Backplane PCB VME JI 11 SLOT Used Working 2
RGI Raster Graphics 6000700-09A VMEbus Interface Board PCB Card RG700 Used 2
Computer Recognition Systems 8946AW261 VME/Overlay Interface PCB 8946-0001 Used 1
Computer Recognition Systems 8946AQ233 VME/Overlay Interface PCB 8946-0001 Used 1
Bio-Rad Y5304803 RATS Interface Board PCB Card Quaestor Q7 Used Working 1
TURBOTRONIK NT 20 Leybold 857 21 Pump Controller NT20 SW2.6 Used Tested Working 1
SV65BIFC Leybold 960465V3002 SOGEVAC Vacuum Pump Will Not Pump Tested As-Is 1
iH600 Edwards NRB4-47-945 Dry Vacuum Pump Package HCDP80 HCMB600 Refurbished 1
RF APEX 1513 AE 0190-31898-000 RF Generator 3156110-205 Used Tested Working 1
Osaka Vacuum TG1300MBWC Compound Turbomolecular Pump Used Tested Working 1
RF30H RFPP RF Power Products 660-093816-001 RF Generator 3150053-001 Refurbished 1
RF30S RFPP RF Power Products 3150017-008 RF Generator RF-30SWC Refurbished 1
RF30S RFPP Power Products 7520758011 RF Generator 490-0530 Used Tested Working 1
AMAT Applied Materials 0190-35113 Halogen Lamp Bulb 2000W Reseller Lot of 4 New 2
Seiko Seiki SCU-A2503PV STP Control Unit - TEST 1
Therma-Wave 18-021358 Adjustable Lens Optics Rev. A Opti-Probe 2600B Used Working 1
Therma-Wave 18-009251 Adjustable Shutter Aperture Opti-Probe 2600B Optics Used 1
Therma-Wave 18-009254 Adjustable Laser Mirror Opti-Probe 2600B Optics Used 1
Therma-Wave 18-007612 Polarized Laser Lens Opti-Probe 2600B Optics Used Working 1
Therma-Wave 18-009479 Lens Shutter Block Opti-Probe 2600B Optics Used Working 1
Therma-Wave 18-011029 Lens Filter Motor Block Opti-Probe 2600B Optics Used 1
Newport 15-3701-1425-25 300MM Wafer Transfer Robot AMAT 0190-19124 Used Working 1
Asyst Technologies 4001-4302-XX 200mm Wafer SMIF-Pod Orange Used Working 1
Brooks Automation 002-7800-05 SMIF Express 200mm Wafer Loadport FEI CLM-3D Used 1
Brooks Automation 002-7200-21 200mm Wafer Loadport FEI CLM-3D Used Working 1
Brooks Automation 017-0950-01 Reliance 200mm Wafer Handling Robot CLM-3D Used 1
Brooks Automation 002-7391-08 200mm Wafer Pre-Aligner FEI CLM-3D Used Working 1
Brooks Automation 002-9400-04 Series 8 Robot Controller FEI CLM-3D Used Working 1
Brooks Automation TEC-300 Controller SMC-S PRI FEI CLM-3D Used Working 1
Brooks Automation TT1ENR2-1-TVS-ES-BROOKS6 Robot Teach Pendant CLM-3D Used 1
Brooks Automation TT1ENR2-1-TVS-ES-BROOKS8 Robot Teach Pendant CLM-3D Used 1
Ion Systems Model 5685 Ionizer 22" Bar Set of 2 FEI Company CLM-3D Used Working 1
Edwards PT35-Y0-B18 STP Turbomolecular Pump Cable 16 Meter P035Y-B231 Used 1
DNS Dainippon Screen 150mm Wafer Developer Stage SC-W60A-AV Photoresist Used 1
JEOL MP002901(00) Panel ITF PB Interface PCB Card JEM-2010F USed Working 1
JEOL MP002817-00 ASID ITF PB Interface PCB Card EM-24015BU JEM-2010F Used 1
JEOL MP002698-00 CM FC ITF PB Interface PCB Card EM-2010F Used Working 1
JEOL MP0021742-01 DEF LENS ITF PB Interface PCB Card EM-2010F Used Working 1
JEOL MP003169(01) Data Memory PB Board PCB Card EM-2010F Used Working 1
JEOL MP002402-01 RE ITFPB Interface Board PCB Card EM-2010F Used Working 1
JEOL MP002403-01 RS RE ITF PB Interface Board PCB Card EM-2010F Used Working 1
JEOL MP002768-02 HT VAC ITF PB Interface Board PCB Card EM-2010F Used Working 1
JEOL MP003460-00 MPU PB Processor Board PCB Card EM-20090 EM-2010F Used Working 1
JEOL MP003619-00 KYBD ITF PB Interface Board PCB Card EM-2010F Used Working 1
JEOL MP003117-01 MOT DR ITF PB Interface Board PCB Card EM-2010F Used Working 1
JEOL MP002407-03 FILM CRT ITF PB Interface Board PCB Card EM-2010F Used Working 1
JEOL MP002902(02) CRT DSPL ITF PB Interface Board PCB Card EM-2010F Used Working 1
JEOL MP002406-02 CAMERA ITF PB Interface Board PCB Card EM-2010F Used Working 1
JEOL MP003470-01 MOTOR DRIVE Board PCB Card TA EM-2010F Used Working 1
JEOL MP003471-02 MOT SHIFT DR PB Board PCB Card SHIFT Y TA EM-2010F Used 1
JEOL MP003471-02 MOT SHIFT DR PB Board PCB Card SHIFT X TA EM-2010F Used 1
JEOL MP003470-01 MOTOR DRIVE Board PCB Card TILT X TA EM-2010F Used Working 1
JEOL MP003470-01 MOTOR DRIVE Board PCB Card TILT Y TA EM-2010F Used Working 1
JEOL MP002899(01) R PANEL I PB Board PCB Assembly MP002962-00 JEM-2010F Used 1
JEOL MP002897(01) L PANEL 1 PB Board PCB Assembly MP002962-00 JEM-2010F Used 1
JEOL MP003083(00) PIRANI PB Vacuum Interface Board PCB JEM-2010F Used Working 1
JEOL MP003084(00) VAC DISPLAY PB Vacuum LED Display Board PCB JEM-2010F Used 1
JEOL MP003633-00 FEG PANEL PB Board Assembly PCB JEM-2010F Used Working 1
JEOL MP002694-00 FREE CONT PB Board Assembly PCB EM-FLC40 JEM-2010F Used 1
JEOL MP002495 CARD UNIT Backplane Board PCB JEM-2010F Used Working 3
Gatan 678.35000 Gate Valve Controller 678.35cK JEM-2010F TEM Used Working 1
Gatan 679.3400 STEM Interface Controller 679.34CK JEM-2010F TEM Used Working 1
Gatan Model 688 DigiScan JEM-2010F TEM Transmission Electron Microscopy Used 1
JEOL EM138707 Camera Assembly Matsushita B5HB4 JEM-2010F TEM Used Working 1
Osaka TD2001-C Turbomolecular Pump Controller Power Supply Used Tested Working 1
JEOL MP003648-00 AC PB Relay Power Distribution Board PCB JEM-2010F TEM Used 1
JEOL MP003648-00 DC PB Power Distribution Board PCB JEM-2010F TEM Used Working 1
Seren 9400000019 Automatic RF Matching Network AT6 AT-SERIES 102206461 New 1
JEOL MP003276-00 Gun SIP Monitor Pump Panel JEM-2010F TEM Used Working 1
JEOL IPC20 Gun SIP Power Supply JEM-2010F TEM Microscopy System Used Working 1
JEOL MP002847(01) STIG AMP PB PCB Card KI JEM-2010F TEM Used Working 1
JEOL MP002848(01) ALIGN AMP PB PCB Card KI JEM-2010F TEM Used Working 1
JEOL MP002846 (01) DEF REF PB PCB Card KI JEM-2010F TEM Used Working 1
FEI Company 4022 268 01181 SED Assembly CLM DSPB 4022 262 44073 CLM-3D Used 1
FEI Company 4035 272 26092 Preamp CDEM Assembly Mod 19201 CLM-3D Used 1
FEI Company 4022 261 5227 Preamp SED Electrical 4022 268 00491 CLM-3D Used 1
FEI Company 4035 272 12081 30KV Lens Cable Assembly 8' Sidewinder CLM-3D Used 1
FEI Company 4035 272 06301-B HV Connector 4035 278 01231 Sidewinder CLM-3D Used 1
JEOL EM-24070 MCP Controller and Cable Set JEM-2010F TEM Used Working 1
FEI Company 4022 268 00588 SEM HTSU CLM Controller Column Electron CLM-3D Used 1
JEOL MP003418(00) DEF INTCON PB Backplane Board PCB JEM-2010F TEM Used Working 1
JEOL MP001828-02 PS-STB PB Power Supply Board PCB JEM-2010F TEM Used Working 1
JEOL High Voltage Junction Box Power Supply JEM-2010F TEM Used Working 1
FEI Company 4022 262 3649 IGPic Module CLM-3D 200mm CLM DualBeam Used Working 1
JEOL DEF/LENS Power Supply Module MP002793(01) JEM-2010F TEM Used Working 1
JEOL SIP Power Supply Column Ion Pump JEM-2010F TEM Used Working 1
JEOL 376515-1 SIP Power Supply GUN-60L Pump JEM-2010F TEM Used Working 1
Schott-Fostec 20750 Fiber Otpic EKE Light Source DCRII with Cable CLM-3D Used 1
FEI Company 100-019970 FIB Electronics Module CLM-3D 200mm CLM Working Spare 1
FEI Company 100-019970 FIB Electronics Module CLM-3D 200mm CLM Working Spare 1
NM Nanomotion AB1A-2A-HR-E16 AB1A Driver Box Motion Controller FEI CLM-3D Used 1
NM Nanomotion AB1A-2a-0 AB1A Driver Box Motion Controller FEI CLM-3D Used 1
Nyquist NY3522/10 Motion Controller FEI 9419 035 22201 CLM-3D Used Working 1
Cherokee Europe PE3168/70 Rack Mount Power Supply FEI Company CLM-3D Used 1
FEI Company 4035 272 55591 System Control Rack 200mm CLM-3D 98490 Used Working 1
Micrion 150-002520 CLM Optics Controller PCB Card FEI 4035 285 13391 CLM-3D Used 1
Micrion 150-002550 Electrostatic Optics STIG/SHIFT PCB Card FEI CLM-3D Used 1
Micrion 150-002540 CLM Electrostatic Optics Gain Rotation PCB Card FEI Used 1
FEI Company 150-002720 Current Sense Amplifier PCB Card CLM-3D Used Working 1
FEI Company 150-002730 Test and Diagnostics PCB Card CLM-3D Used Working 2
FEI Company 150-002650 CLM/FIB Blanker Assembly PCB Card CLM-3D Used Working 1
FEI Company 4022 192 9627 DRCU Detector Rack Control Unit PCB Card CLM-3D Used 1
FEI Company 4035 272 27021 DCEM Controller PCB Card 4035 272 35261 CLM-3D Used 1
FEI Company 4035 272 26561 GRID HVPS Power Supply PCB Card CLM-3D Used Working 1
FEI Company 4035 272 26551 BIAS HVPS Power Supply PCB Card CLM-3D Used Working 1
FEI Company 4035 272 75251 GAIN HVPS Power Supply PCB Card CLM-3D Used Working 1
FEI Company 4035 272 25001 FDCPS Power Supply PCB Card CLM-3D Used Working 1
FEI Company 4022 192 9350 Power Supply PCB Card SEM EDCU CLM-3D Used Working 1
Micrion 150-002550 Optics STIG/SHIFT PCB Card 101001084A FEI CLM-3D Used 3
Micrion 150-002540 CLM Optics Gain Rotation PCB Card 170000553A EDCU FEI Used 2
FEI Company 150-002670 CLM Optics Quad Detection PCB Card CLM-3D SEM EDCU Used 1
FEI Company 150-002630 SEM Electrostatic Optics CLM Backplane CLM-3D Used 1
FEI Company 4022 296 0109 Backplane Board PCB 29501092 CLM-3D Used Working 1
FEI Company 4022 192 9656 Backplane Board PCB 4022 192 8656 CLM-3D Used Working 1
JEOL JUS-MSI Microscope Camera Distribution Server Set AXIS 2400+ JEM-2010F Used 1
Oxford Instruments 51-1100-103 Inca X-Stream Module JEOL JEM-2010F Used Working 1
JEOL EM-07130 Microscope Controller fasTEM Panel TEM JEM-2010F Used Working 1
JEOL Microscope Tilt X/Y Pedal Controller Set of 2 JEM-2010F Used Working 1
JEOL MP002369 PCB Card Slot Extender JEM-2010F TEM Used Working 1
FEI Company 150-002620 Aperture Motor Amplifier CLM-Motion Chassis PCB Used 1
FEI Company 150-002570 Amplifier Board PCB Card CLM-3D 200mm CLM Used Working 1
Micrion 150-002560 CLM-SERVO Controller Power Converter Board PCB Card FEI Used 1
FEI Company 150-002600 Pneumatic Interface Board PCB Card 150-002610 FEI Used 1
Micrion 150-002221 FIB-NETWORK Processor PCB Board FEI CLM-3D Used Working 2
Micrion 150-002300 FIB-NETWORK I/O Network CPU Power Board FEI CLM-3D Used 2
FEI Company 150-002270 FIB-PROC MOD-NETWORK VACCON PCB Board FEI CLM-3D Used 1
FEI Company 150-002280 CLM-PROC MOD-NETWORK Loadlock Control PCB CLM-3D Used 1
FEI Company 150-002590 CLM-MOTION CHASSIS-AMPLIFIER Backplane PCB CLM-3D Used 1
Acopian S11792-2 FIB EOCU DC Power Supply FEI Company CLM-3D Used Working 1
TEAL 3850046 Power Conditioner PCDU-ROBOTSERVO FEI Company CLM-3D Used Working 1
TEAL 2450065-02 Power Conditioner PDU-SPCLM FEI 4035 272 23221 CLM-3D Used 1
FEI Company 4035 285 53481 General I/O Module with DeviceNet CLM-3D Used Working 1
ADE Technologies 3800 Non Contact Capactive Dimensional Gaging Module Used 1
Spicer Consulting SC12 Field Cancelling System with Sensor FEI CLM-3D TEM Used 1
FEI Company 4022 262 3649 IGPec Module CLM-3D 200mm CLM DualBeam Used Working 1
FEI Company 4022 262 3649 IGPeg Module CLM-3D 200mm CLM DualBeam Used Working 1
FEI Company 4035 272 14481 CCM Processor PCB Card CLM-3D 200mm Used Working 3
FEI Company 4035 272 14661 PIM Pneumatic Interface PCB Card US11524 CLM-3D Used 1
Cherokee Europe 9415 041 21011 Power Supply PCB Card PE4121/01 FEI CLM-3D Used 1
Edwards C41644000 Pneumatic Sealed Bellows Vacuum Valve SIPV40PKA Used Working 1
MDC Vacuum Products 311074 Pneumatic Angle Valve KAV-150-P Used Working 1
SanRex 5M28111T4 DC Auto HKD Power Supply Remote Control 10 M Used Working 1
JEOL SM-45150 6x7cm Camera Adapter Assembly JEM-2010F TEM Used Working 1
JEOL SM-45150 4x5 Inch Camera Adapter Assembly JEM-2010F TEM Used Working 1
JEOL SM-45150 85x108mm Polaroid Film Holder Assembly JEM-2010F TEM Used Working 1
EPX TWIN 180L Edwards A419-61-222 High Vacuum Dry Pump Used Tested Working 1
Gatan 678-17004 GIB Lens Driver LENS 3 PCB Card Rev. 6 JEOL JEM-2010F Used 1
Gatan 678-17004 GIB Lens Driver LENS 3 PCB Card Rev. 5 JEOL JEM-2010F Used 1
AMAT Applied Materials 50312440000 UI Switch Board PCB 50312441000 Used Working 3
AMAT Applied Materials 0100-00611 Smoke & Water Leak Detector Board PCB Used 1
Advantest A021105B Processor Board PCB BLD-024487 Used Working 1
AMAT Applied Materials 0100-02146 EVR Gap Servo PCB Card Used Working 4
DNS Dainippon Screen HLS-MC1A Network Control Board PCB PC-97040A Used Working 1
DNS Dainippon Screen HLS-MC4 Interface Board PCB PC-97019 Used Working 1
DNS Dainippon Screen HLS-MC2 Relay Board PCB PC-97013B Used Working 1
RF30S RFPP RF Power Products 3150017-026 RF Generator 490-0530 Refurbished 1
RF30S AE Advanced Energy RFPP 7520758011 RF Generator 490-0530 Refurbished 1
RF30S RFPP RF Power Products AE 3150017-000 RF Generator F/R M Refurbished 1
RF30S RFPP RF Power 3150017-026 RF Generator RF-30S Missing Parts Untested As-Is 1
RF30S RFPP RF Power Products 3150017-026 Generator 490-0530 Used Tested Working 1
RF30S RFPP RF Power Products 3150017-026 Generator 490-0530 Used Tested Working 1
JEOL 10x Binocular Microscope Assembly JEM-2010F TEM Microscopy System Used 1
JEOL EM-21020 Standard Room Temperature Retainer Specimen Holder JEM-2010F Used 1
JEOL EM-24015BU TEM Electron Microscope Optical Lens Assembly JEM-2010F Used 1
Shimadzu 263-14025-20V1 TMP Turbomolecular AC Cable TEL 3D86-004932-V1 20M New 1
Shimadzu 263-14025-20V1 TMP Turbomolecular AC Cable TEL 3D86-004930-V1 20M New 1
RF-30SWC RFPP 7520758010 RF Generator 3150017-026 RF30S Used Tested Working 1
FEI Company 565 002 793 Wafer Stage Assembly CLM-3D 200mm CLM S-9SRH-0176 Used 1
Edwards B65251000 Pneumatic Gate Valve GVI 063 P FEI Company 160-009450 Used 1
VAT 07512-UA44-0002 Pneumatic Atmospheric Door FEI Company CLM-3D Used Working 1
FEI Company 4022 262 26331 CLM Column SEM Assembly CLM-3D 4022 268 00581 Used 1
FEI Company Facilities Panel Pneumatic and Water Assembly CLM-3D Used Working 1
Hitachi Heater Transformer Unit Etch Chamber M-712E Trench Etcher Used Working 1
MKS Instruments 161-0040K Inline Manual Valve Used Working 1
JEOL EM-24015BU Power Supply Assembly JEM-2010F TEM Used Working 1
JEOL EM-2011F Mechanical Rotary Vacuum Pump Assembly JEM-2010F TEM Used Working 1
JEOL EM-Z6189T Power Supply PCB Module JEM-2010F TEM MP003922-00 Used Working 1
Mitutoyo 155-124 Telescoping Gage 1-1/4" to 2-1/4" Reseller Lot of 5 New Surplus 1
JEOL High Voltage Power Interconnect Cable JEM-2010F TEM Used Working 1
Edwards B65251000 Pneumatic Gate Valve GVI 63P Used Working 1
iH1800 SYSTEM Edwards A533-25-908 Dry Vacuum Pump 1 Hour Refurbished 1
APEX 1513 AE Advanced Energy 0190-19022-001 RF 3156110-005 Used Tested Working 1
SMC INR-496-002D-X007 Recirculating Thermo Chiller Used Tested Working 1
Power-One SPM5D2D2KH Switching Power Supply 24V Used Working 1
TMC Micro-g Gimbal Piston Isolators Set of 5 JEOL JEM-2010F TEM Used Working 1
Pearl Kogyo RP-300-2MX-C RF Matching Unit Used Working 1
Pearl Kogyo ZDK-916E RF Power Generator Tuner Controller Used Working 1
QMB1200 60Hz Edwards A305-86-905 Mechanical Booster Pump Factory Refurbished 1
DIP Incorporated EH0111(D)-10C Power Supply PCB EH0111 DB-D56-101E Used Working 2
Hitachi HT98310 PS Card PCB Reseller Lot of 2 Used Working 1
OEM-650A ENI OEM-6A-11491-52 Soild State Power Generator Used Tested Working 1
Cosel 504136900T AC/DC Adjustable Voltage Power Supply AD960-30 Used Working 4
Komatsu 30022730 NOP OM-P Processor Board PCB CADK00360 Used Working 1
Komatsu 3001216A0 KE-2007 Interface Board PCB Used Working 1
Komatsu 300818200 KE-2018 Interface Board PCB Used Working 1
Komatsu 300090801 KE-2003 Display Panel Board PCB Used Working 1
Komatsu 30025500 KE-2014-1 Power Supply Board PCB Used Working 4
Koganel CR1131W-D 200mm Wafer Handling Robot Alpha Series TEL Mark-8 Used 2
AMAT Applied Materials 0100-00415 G2/G3 Protection and Delatch PCB Used Working 1
Applied Micro Technology 1317-1-F-0962-0787 Analog Input PCB Card ST4303-16 Used 1
Applied Micro Technology ST4303-32-1 Analog PCB Card Lam 810-01317-001 Used 1
AMAT Applied Materials 8030R2-H-LM-APPLIEDMATERIAL Teach Pendant Quantum Use 1
Bio-Rad 70/0.50 Microscope Objective Quaestor Q7 Used Working 1
MKS Instruments FRCA-25761 Delta Flow Ratio Controller 2000 SCCM N2 New Surplus 1
OEM-650A ENI OEM-6A-11491-51 Soild State Power Generator Used Tested Working 1
Therma-Wave 14-007135 AF Interconnect AT Stage Assembly Opti-Probe 2600B Used 1
EPX 180LE Edwards A419-43-712 High Vacuum Dry Pump HiVac Series New Surplus 2
Cymer 06-02003-00B Blower Motor ELS Laser System Used Working 1
Cymer 05-04556-01 Chamber Adjustment Panel ELS-6400 Laser System Used Working 1
Cymer 06-02003-00 Blower Motor ELS Laser System Used Working 1
Cymer 05-04555-00 Chamber Adjustment Panel ELS-6400 Laser System Used Working 1
Cymer 05-04555-01 Chamber Adjustment Panel ELS-6400 Laser System Used Working 1
Cymer 06-05200-00A Interface Board PCB 06-05201-00 ELS-6400 Used Working 1
Cymer 107270-B Relay Interface Board PCB 107269 ELS-6400 Used Working 1
EPX TWIN 180L Edwards A419-61-222 High Vacuum Dry Pump Used Tested Working 1
QDP40 Edwards A528-40-905 Dry Vacuum Pump with QMB250 Copper Cu Tested As-Is 1
Oxford Instruments 6498 EDS Energy Dispersive Link Pentafet JEOL JEM-2010F Used 1
Oxford Instruments 1108-096 U.D.C. Pneumatic Unit JEOL JEM-2010F Used Working 1
JEOL JUS-FEGBB Ion Pump Battery Backup Module JEM-2010F Used Working 1
JEOL EM-24015BU ASID Module TEM Transmission Electron Microscopy JEM-2010F Used 1
JEOL EM-CP10 Air Compressor TEM Transmission Electron Microscopy JEM-2010F Used 1
iH80 NEW LOOK Edwards A533-50-945 Dry Vacuum Pump Missing Parts Tested As-Is 1
iH80 SYSTEM Edwards A533-81-945 Dry Vacuum Pump Tested Not Working As-Is 1
JEOL SM-45150 CSI TEM Microscope Camera Polaroid 545i Film Holder JEM-2010F Used 1
Verteq 1099596-1 SRD Spin Rinse Dryer Rotor A82M-0215 H-BAR-IN Used Working 4
Verteq 1103080-3 SRD Spin Rinse Dryer Rotor A72-40MB-0215 H-BAR-OUT Used Working 7
Verteq 1075459-1 SRD Spin Rinse Dryer Rotor A182-60M-0215 H-BAR-IN Used Working 1
Semitool A72-40MB-9826-5R 100mm SRD Spin Rinse Dryer Rotor A72-40MB Verteq Used 1
Semitool A72-40MB-9826-5W 100mm SRD Rotor H-BAR-OUT A72-40MB Verteq Used Working 1
Semitool A72-40MB-9826-5AD 100mm SRD Rotor H-BAR-OUT A72-40MB Verteq Used 1
Varian K4816-307 18" High Vacuum Diffusion Pump VHS-400 K4816307 Untested As-Is 1
RF-30SWC RFPP Power Products 7520758011 Generator 490-0530 Untested As-Is 1
SMC INR-244-230W Power Supply 12inch THERMO-CON Used Working 2
SMC INR-244-216W Power Supply RCP THERMO-CON Used Working 2
Balzers Unaxis LLS 502 Load Block Metal Film PVD Sputtering System Used Working 1
Nikon 4S015-096 Processor Board PCB Card NK-C303-40 NSR-S202A Working Spare 1
Leroy Somer UL-E68554-G LSMV Induction Motor - Test 1
Nikon 4S018-395-A Interface Relay Card PCB WS8DRV NSR-S202A Used Working 1
Polycold Systems PEC-400LT @ Eric 1
GHW ENI GHW-85A RF Power Supply 13.56Mhz 8.5kW Untested As-Is 1
APD Cryogenics 263517D Cryotiger Compressor Cooling System Untested As-Is 2
MDX Pinnacle AE Advanced Energy 3152316-000B Power Supply Used Tested Working 1
ESDP30 Edwards ESDP 30 A Scroll Vacuum Pump Anest Iwata Used Tested Working 1
RF20M RF Power Products 033471600 RF Generator 7521403010 Used Tested Working 1
RF20M RF Power Products 0334-716-02 RF Generator 7521403050 Used Tested Working 1
Yaskawa EELQ-8ZT Scroll Pump Motor Edwards ESDP 30 48 Hours Used Tested Working 1
SC 30 D Anest Iwata ISP-500B Scroll Vacuum Pump SC30D Used Tested Working 1
Yaskawa EELQ-8ZT Scroll Pump Motor Edwards ESDP 30 0 Hours Used Tested Working 1
Nidec EN-8ZT2 Scroll Pump Motor Oerlikon SC30D 0 Hours Used Tested Working 1
MDX-2.5K AE Advanced Energy 3152224-039A Magnetron Drive 8kW Nordiko Refurbished 1
ScrewLine SP 250 Oerlikon Leybold 115001 Dry Vacuum Pump Used Tested Working 1
RF-50S RFPP 7520581010 RF Generator Cart AE 3150013-000 Used Tested Working 1
MDX-10K AE Advanced Energy 2012-120-A Magnetron Nordiko Used Tested Working 1
VLSI Standards SHS-50.0 Q Step Height Standard Calibration Tool Used Working 1
VLSI Standards SHS-880 QC Step Height Standard Calibration Tool Used Working 1
VLSI Standards SHS-8 8.16um Step Height Standard Calibration Tool Used Working 1
VLSI Standards SHS-880 Step Height Standard Calibration Tool Used Working 1
VLSI Standards 1.79um Step Height Standard Calibration Tool Used Working 1
VLSI Standards 917A Step Height Standard Calibration Tool Used Working 1
VLSI Standards 9218Å Step Height Standard Calibration Tool Used Working 1
Roger K. Sherman Company 12565 Valley Precision Calibration Standard Used 1
Mahr Perthen 6820101 Metrology Calibration Standard Gage PEN-10-1 Used Working 1
VLSI Standards SHS-1.8 Step Height Standard Metrology Calibration Tool Used 1
VLSI Standards STR10-1000 Surface Topography Standard Metrology Used Working 1
Karl Suss MicroTec Model 1000 UV Intensity Meter 10013995 405nm Used Working 1
Omega Engineering HHF710 Digital Hygro-Thermometer Anemometer Meter Set Used 1
Alpha Probes Gold Card 0 Probe Card PCB Standard B48-XXL Open Meters 2 Used 1
Alphatronics Gold Card 1 Probe Card PCB Standard B481 5.20 Ohms Meters 1&4 Used 1
Alphatronics Gold Card 2 Probe Card PCB Standard B481 20.1 Ohms Meters 1&4 Used 1
Alphatronics Gold Card 3 Probe Card PCB Standard B481 100.0 Ohms Meters 1&4 Used 1
Alphatronics Gold Card 4 Probe Card PCB Standard B481 20.0 Mohms Meters 2 Used 1
Alphatronics Gold Card 5 Probe Card PCB Standard B481 10.0 Kohms Meters 3 Used 1
OAI Instruments 0358-010-01 High Intensity Stepper Exposure Analyzer 358 Used 3
Genmark Automation GB3 Wafer Handling Robot GencoBot 3 GBIII Refurbished 1
Sparc-le V AE Advanced Energy 3152330-003 A DC Pulsing ARC Used Tested Working 1
RF30 RFPP RF Power Products 7520758170 RF Generator Nordiko Not Working As-Is 1
RF-30SWC RFPP Power Products 7520758010 RF Generator Nordiko Not Working As-Is 1
OEM-6B ENI OEM-6B-01M4 RF Generator Tested Not Working As-Is 1
RF20H RF Power Products 660-093816-001 Generator 7522170011 Used Tested Working 1
RF20H RF Power Products 7004-0020-4 RF Generator 3150233-001 Used Tested Working 1
RF10M RFPP RF Power Products 7524140011 RF Generator Used Tested Working 1
MDX Pinnacle AE Advanced Energy 3152363-004 A DC Generator Used Tested Working 1
DRYVAC2 100 P Leybold 13885 Dry Vacuum Pump 12 mTorr Used Tested Working 1
RF VII PT-II-CE Universal Match Tuner Controller Module Used Working 1
Signatone S460-USB Probe Station Stage XY-Base Assembly 0460-6069 Used Working 1
VAT 64246-UE52-0101 Motor Controlled High Vacuum 8" Gate Valve Used Working 1
VAT 14040-PE44-1008 Pneumatic High Vacuum 4" Gate Valve Used Working 2
VAT 02010-AA44-0002 Pneumatic High Vacuum 12" Slit Valve Used Working 1
VAT 02010-BA24-1001 Pneumatic High Vacuum 12" Slit Valve Used Working 1
VAT 02010-BE44-0001 Pneumatic High Vacuum 12" Slit Valve Used Working 2
VAT 02010-BA24-0008 Pneumatic High Vacuum 12" Slit Valve Used Working 1
VAT 14046-PE44-0006 Pneumatic High Vacuum 10" Gate Valve Refurbished 1
Polaris 306570-001 7th Axis Robot Main I/C Cable Used Working 1
Materion Microelectronics 7113050 99.95% Co/Fe 10% at% Target New Surplus 1
Umicore AKQ515 Planar Magnetron Sputtering Target Kit NiFe45.5 wt% New Surplus 1
Materion Microelectronics 7113419 Ni/Fe 14% wt% Target New Surplus 1
Materion Microelectronics 7113419 NiFe14 Bonded Target New Surplus 1
Williams Advanced Materials ZTH08446 Ni/Fe 18.2% wt% Target New Surplus 1
Materion Microelectronics ZTH08197 Bonded Ti Target for Cymetra New Surplus 1
Materion Microelectronics 7106509 Ni/Fe 17% wt% Target New Surplus 2
Materion Microelectronics ZTH07212 Cr Chromium Target for Cymetra New Surplus 3
Materion Microelectronics 7105330 Bonded CS Ti Target New Surplus 1
Materion Microelectronics ZTH-7179 Ti Titanium Target for Nordiko Used Working 1
RFPP RF Power Products 0334-717-01 RF Source & Matching Network 8400003010 Used 1
VAT 10848-UE44-0004 Pneumatic UHV Gate Valve Series 10 Used Working 1
MKS Instruments AX7645PS-10 Remote Plasma Generator Astron hf-s AX7645 HFS Used 1
RF-30SWC/MT RFPP RF Power Products 934-15007-00 RF Generator 7520758056 As-Is 1
Turbo-V 550 ICE Varian 9699078 Turbomolecular Pump TV 550 Used Tested Working 1
MKS Instruments AX7645RH-10 Plasma Source Astron HFS AX7645 ASTeX Working Spare 1
SH-100 Varian SH01001UNIV Dry Scroll Pump Agilent SH0110TS Used Tested Working 1
ESDP 12 Edwards A710-02-909 Dry Scroll Vacuum Pump Used Tested Working 1
VAT 02009-ZA24-1006 Rectangular Slit Valve Monovat New Surplus 2
HiPace 80 Pfeiffer PM P03 940 Turbo Pump w/TC 110 Used Tested Working 1
TMH 071 P Pfeiffer Vacuum PM P02 980 C Turbomolecular Pump Used Tested Working 1
ASTeX AX7651-2 RPS Remote Source Plasma ASTRON 187 Hours Used Tested Working 1
TURBOVAC SL80 Oerlikon Leybold 800002V3001 Turbomolecular Pump Used Working 1
Leybold 88772 High Vacuum Flexible Bellows Stainless ISO160 ISO-K New Surplus 1
MKS Instruments High Vacuum Mitered Elbow 90° ISO160 to ISO160 ISO-K HPS Used 1
Lam Research 853-017805-045 RF Cable 45 Foot 13 Meter Used Working 1
APD Cryogenics T1101-01-000-14 Cryotiger Compressor Cooling System As-Is 1
Oxford Instruments 91-00014-006 M600 Helium Compressor Used Working 1
CTI-Cryogenics 8043069G004 On-Board Frequency Converter Used Tested Working 1
DRYSTAR Edwards QDP40 Vacuum Pump Rack with Q Series 2 MCM Used Tested Working 1
QDP40 Edwards 528-40-905 Vacuum Pump Rack w/ Q Series 2 MCM Used Tested Working 1
VAT 641PM-16PM-0002 Adaptive Pressure Controller PM-5 64PM.3C.00 Used Working 4
Eurotherm Controls 818 Series Celsius Temperature Controller Programmer Used 5
Eurotherm Controls 818 Series Celsius Temperature Controller Programmer Used 1
Granville-Phillips 370501-A10-T1 Remote Power Supply Module Used Working 1
MKS Instruments 120AA-000.1RAJ Baratron Capacitance Manometer Used Working 1
GE Fanuc A06B-6089-H105 Amplifier Servo Unit B-65192 α Alpha Series Used Working 2
Optimised Control D281 Motion Controller Card PCB esMINT v2.67j/m Used Working 1
Optimised Control D571 Processor Card PCB D573-2-JED Used Working 1
Optimised Control OPT003-501 Interface Board Card PCB D531 Used Working 1
Harmonic Drive Systems KXA-48-16/AUX/PS Servo Drive Power Supply Card PCB Used 1
Heason Technologies Group Operator Interface Panel D641 Key Pad PCB Used Working 1
Optimised Control D311 Servo Drives Interface Board PCB Used Working 1
Fanuc A20B-2001-0902/02B AC Servo Interface Board PCB ME-1 Used Working 1
Fanuc A20B-1004-0960/04B AC Servo Power Supply Board PCB Used Working 1
Fanuc A20B-2100-0021/07G AC Servo Mainboard PCB 420B-2901-0480/01A Used Working 1
Eurotherm 461/083/13/19/ENG/008/055/96/00 Analogue Input Thyristor Unit 461 Used 1
Eurotherm 461/081/13/19/008/055/96/00 Analogue Input Thyristor Unit 461 Used 4
Tektronix TDS 420A 4-Channel Digitizing Oscilloscope TDS420A Used Working 1
Leda-Mass Spectra Vacscan 100 DUAL X100 Residual Gas Analyzer RGA LM6 LM62 Used 1
Intelligent Instrumentation EDAS-2000E-2A PLC Control Unit EDAS-2000E-1 Used 1
Intelligent Instrumentation EDAS-2000E-2A PLC Control Unit EDAS-2000E-1 Used 1
Intelligent Instrumentation EDAS-2008M-1 PLC Serial Port Unit EDAS RS-232 Used 3
Intelligent Instrumentation EDAS-2008M-1 PLC Serial Port Unit EDAS RS-232 Used 2
Intelligent Instrumentation EDAS-2003M-1A PLC Analog Input Unit EDAS Used 2
Intelligent Instrumentation EDAS-2004M-1 PLC Digital Input Unit EDAS Used 4
Intelligent Instrumentation EDAS-2004M-1 PLC Digital Input Unit EDAS Used 1
Intelligent Instrumentation EDAS-2006M-1 PLC Analog Output Unit EDAS Used 2
Intelligent Instrumentation EDAS-2006M-1 PLC Analog Output Unit EDAS Used 2
Intelligent Instrumentation EDAS-2005M-1 PLC Analog Output Unit EDAS Used 7
Intelligent Instrumentation EDAS-2005M-1 PLC Analog Output Unit EDAS Used 4
B&B Electronics ESR904 4-Port Industrial Ethernet Serial Server V-LINX Used 2
Parker Veriflo 44900005-PE High Purity Bellows Valve 4V1-P4K-11AC-SSV-PE Used 6
Parker Veriflo 4V1-P4K-11AC-SSV-PP High Purity Bellows Valve Used Working 11
VAT 28332-GE01-0002 Manual Right Angle Vacuum Valve UHV Used Working 1
VAT 28332-GE11-0002 Pneumatic Right Angle Vacuum Valve UHV Used Working 1
HP Compaq dx2200 Desktop Nordiko 9606 Control Computer System 7478 Used Working 1
HP Compaq dx2300 Desktop Nordiko 9606 S09 Control Computer System 7478 Used 1
FSI 404126-001 Chemfill Operator Interface Panel Used Working 2
NTI Network Technologies VOPEX-2KVIM-A 2-port Video Switching KVM Splitter Used 1
NTI Network Technologies VOPEX-2KV-A 2-Port Video Switching KVM Splitter Used 1
Granville-Phill​ips 360121 Ion Gauge UHV-Y STABIL-ION Used Working 1
VAT 26328-KA11-0001 Pneumatic Right Angle Vacuum Valve Used Working 1
VAT 26328-KA11-0001 Pneumatic Right Angle Vacuum Valve Used Working 4
Granville-Phill​ips 275256 Convectron Pirani Vacuum Gauge 275 Used Working 1
CX-200S Comdel FP3013R1 V-Quad RF Generator 13.56MHz CXV-200 Used Tested Working 1
iGX100M Edwards A53612958XS Dry Vacuum Pump Cooling Loop Leak Not Working As-Is 1
VAT 02010-BA24-1003 Pneumatic Vacuum Slit Valve Used Working 1
VAT 02010-BA24-1003 Pneumatic Vacuum Slit Valve Used Working 1
VAT 02012-BE24-ABD1 Pneumatic Vacuum Slit Valve Used Working 1
VAT 14050-CE44-AAC1 Pneumatic Actuator HV High Vacuum Gate Valve Used Working 1
VAT 64250-CE52-1101 Motorized Actuator HV High Vacuum Gate Valve Used Working 1
VAT 64250-CE52-1101 Motorized Actuator HV High Vacuum Gate Valve Used Working 1
ATH1603M Adixen YY5621H0 Turbomolecular Pump 8774 Hours Used Tested Working 1
ATH1603M Adixen 112745 Turbomolecular Pump 35954 Hours Used Tested Working 1
ATH1603M Adixen 112745 Turbomolecular Pump 35960 Hours Used Tested Working 1
ATH1603M Adixen YY5621H0 Turbomolecular Pump 1415 Hours Used Tested Working 1
ATH1603M Adixen YY5621H0 Turbomolecular Pump 8774 Hours Used Tested Working 1
TMH 1001 P Pfeiffer PM P03 300 G Turbomolecular 101621 Hrs Used Tested Working 1
TMH 1001 P Pfeiffer PM P03 300 G Turbomolecular 101179 Hrs Used Tested Working 1
TMH 1001 P Pfeiffer PM P03 300 G Turbomolecular 101044 Hrs Used Tested Working 1
TMH 1001 P Pfeiffer PM P03 300 G Turbomolecular Pump TC600 Tested Working New 1
Harrington Hoist ED 250 120V Electric Chain Hoist 250 Pound Used Tested Working 1
Edwards D37207596 iQDP Extension Cable 4 Pin XLR 15M Lot of 3 New Surplus 1
Cicoil 413H100-2 YSS Flex Cable MSX SVG Silicon Valley Group 859-0948-001 New 1
Veriflo 42500197 3-Way Regulator Valve 959 30W 3P FSMMF Used Working 1
Swagelok SS-4BMRG-VCR Bellows Sealed Metering Valve Nupro Used Working 1
Swagelok SS-4BMG-VCR Metering Bellows Sealed Valve NUPRO Used Working 1
Shrader Scientific DN63CF Manual Isolation Vacuum Valve Used Working 1
Asyst Technologies 9700-6209-01 Robot Power Distribution Center New Surplus 1
Asyst 96B1-FDDR-AUBJ Temperature Control Module Watlow New Surplus 1
Asyst Technologies 9700-5819-01 FFU Fan Filter Unit Controller New Surplus 1
Asyst Technologies Robot System Relay Module CyberResearch CYSSR 24 New Surplus 1
Asyst Technologies 4002-1719-01 REM Alignment Spacer AXYS MODEL 21 ROBOT New 2
Equipe Technologies ATM-107 Wafer Transfer Robot Used Working 1
Equipe Technologies ESC-212 Robot Controller KLA-Tencor AIT I Used Working 1
Nikon 2S700-664 Prealigner Optical Sensor Assembly 2S700-536 OPTISTATION 3 Used 1
JDS Uniphase 2214-30SLQRT Laser System 2114B-30SLQRT KLA-Tencor AIT I Used 1
Aerotech Motion Controls MX10-B MX Multiplier Board 690C1510 Used Working 1
Aerotech Motion Controls MX25-B MX Multiplier Board 690C1510 Used Working 1
Dolan-Jenner A-240 Illuminator System Fiber-Lite A-240L KLA-Tencor AIT I Used 1
Tencor Instruments 328014 Cradle Power AIT Board PCB KLA-Tencor AIT I Used 1
Tencor Instruments 317195 Robot Distribution Board PCB S8000 KLA AIT I Used 1
Tencor Instruments 188859 4-Channel PWM Motor Drive PCB KLA-Tencor AIT I Used 1
Tencor Instruments 294420 Motor Distribution S8000 Board PCB Rev. A KLA AIT Used 2
Tencor Instruments 285315 Distribution S8000 Board PCB KLA-Tencor AIT I Used 1
Tencor Instruments 183270 CASS SZ SW 100-200MM Board PCB KLA-Tencor AIT I Used 1
Tencor Instruments 297208 AOD AIT Board PCB 325759 KLA-Tencor AIT I Used Working 1
Aerotech 690D1504 BB501 Interface Board PCB ESP792/EFN188 KLA-Tencor AIT I Used 1
KLA-Tencor AIT I Surfscan Microscope Assembly Olympus U-D5BDREM U-RLA Used 1
Osicom Technologies 00-501-451 Interface Module DCP-1A/LPF-1451 KLA AIT I Used 1
KLA-Tencor AIT I Surfscan Inspection Scanning Lens Assembly 315974 284726 Used 1
KLA-Tencor AIT I Surfscan Inspection Camera Assembly Sony DXC-950 LTAF-8000 Used 1
KLA-Tencor 315° Inspection Mirror Assembly AIT I Surfscan Incomplete As-Is 1
MDX-2.5K AE Advanced Energy 3152224-039A Magnetron Nordiko Used Tested Working 6
KLA-Tencor 45° Inspection Mirror Assembly AIT I Surfscan 284726 Used Working 1
Bertan PMT-10CN-3 Adjustable High Voltage Power Supply Used Working 1
Tencor Instruments 289787 Timing Generator Board PCB Card KLA-Tencor AIT I Used 1
KLA-Tencor 515051 Wafer APS2 AIT2 Board PCB Card AIT I Used Working 1
Matrox MRV2/VID Audio Video Graphics I/O PCB Card 521-0201 MARVEL_2 Used Working 1
Commtech FASTCOM:4W Four Port RS-232 Adapter PCB Card Used Working 1
Helix Technology 8127211G001 Logic Board PCB 8127210G001 CTI-Cryogenics Used 2
Helix Technology 8127213G001 Power Board PCB CTI-Cryogenics 8113160G001 Used 1
Yaskawa XU-RC350D-D91 Dual Arm Wafer Handling Robot with End Effectors As-Is 3
Yaskawa XU-RC350D-D91 Dual Arm Wafer Handling Robot with End Effectors As-Is 4
Yaskawa XU-RC350D-D91 Dual Arm Wafer Handling Robot with End Effectors As-Is 7
Yaskawa XU-RC350D-C51 Dual Arm Wafer Handling Robot with End Effectors As-Is 1
Helix Technology 8127213G001 Power Board PCB CTI-Cryogenics 8113056G001 Used 1
Power-One HN5-9/OVP-A Power Supply KLA-Tencor AIT I Used Working 2
Power-One HN28-3-A Power Supply KLA-Tencor AIT I Used Working 1
KLA-Tencor GPIO Polarized Lens Assembly Laser Optics AIT I Surfscan Used Working 1
KLA-Tencor 200umx9mm Slit Lens Assembly TSX-1D Stage GPIO AIT I Surfscan Used 1
KLA-Tencor Chirp Lens Focus Assembly GPIO Laser Optics AIT I Surfscan Used 1
KLA-Tencor Filter Lens Stage Assembly GPIO Laser Optics AIT I Surfscan Used 1
KLA-Tencor Mirror Stage Assembly GPIO Laser Optics AIT I Surfscan Used Working 1
KLA-Tencor Crystal Lens Assembly GPIO Laser Optics 4109-1 AIT I Surfscan Used 1
KLA-Tencor Prism Lens with WFR Adjuster Set of 2 GPIO Laser Optics AIT I Used 1
ATH 500M Adixen Vacuum Products V13121B1 Turbomolecular Pump Used Working 1
Nikon OPTISTATION 3 Binocular Eyepiece Microscope Assembly CFWN 10x/20 Used 1
Equipe Technologies FPD 400 Flat Panel Display Robot INDEXER 360 MM Used Working 1
CTI-Cryogenics 08105449 A Network Terminal Motherboard PCB 502-082 Used Working 1
Helix Technology 8132392G001 DC-DC Power Module PCB CTI-Cryogenics Used Working 1
Soyo Group MV4-V4S471/472P Ver. 1.0 Motherboard PCB 805-01702-101 Used Working 1
Yutaka Engineering ERSB-3019-WX Manual Valve Reseller Lot of 2 Used Working 1
Yutaka Engineering ERSB-3019-WX Manual Valve Reseller Lot of 2 Used Working 3
Brooks Automation 2002-0012-07 Robot Power Cable 2.1M Used Working 1
Brooks Automation 2002-0011-07 Robot Signal Cable 2.1M Used Working 1
KLA-Tencor 200mm Wafer Chuck AIT I Surfscan Patterned Inspection System Used 1
Asyst Technologies 9700-3858-01 Wafer Indexer 360 MM INX 3600 Used Working 2
MRC Materials Research MR-22377 150mm Eclipse Star Wafer Carousel Assembly Used 1
MRC Materials Research D111611 150mm Eclipse Star Wafer Carousel Assembly Used 1
MRC Materials Research D122742 150mm Eclipse Star Wafer Carousel Assembly Used 1
TMH 071 P Pfeiffer PM P02 980 C Turbomolecular Pump w/TC100 Used Tested Working 4
HiPace 80 Pfeiffer PM P03 940 Turbomolecular Pump w/TC 110 Used Tested Working 3
TMU 262 X Pfeiffer PM P03 115 Turbomolecular Pump w/ TC100 Used Tested Working 2
Kokusai Electric CX1103 Tube Controller Operator Panel CX-2000 Bad Screen As-Is 1
Kokusai Electric CX1103 Tube Controller Operator Panel CX-2000 CX13iPL Used 1
ATP Adixen Alcatel ATP 80 Turbomolecular Pump ISO63 Used Working 1
SCU-301 Seiko Seiki SCU-301VCP Turbomolecular Pump Controller Used Tested Working 1
MDX Pinnacle AE Advanced Energy 0190-26287-001 Power Supply Used Tested Working 1
DCG-200E OPTIMA ENI DCG-400E-0J DC Generator MASTER 0190-22568 Tested Working 1
MDX-10K AE Advanced Energy 3152012-041 AB Power Supply MASTER Tested Working 1
Watlow 986A-20CE-MARG Microprocessor-Based Temperature Process Controller Used 2
Watlow 986A-20FD-MARG Microprocessor-Based Temperature Process Controller Used 1
Neslab 323003260108 Recirculator Coolflow SYSTEM II Lot of 7 Seized Motors As-Is 1
DRYVAC 50 P Leybold E13833 Dry Vacuum Pump 9 mTorr Used Tested Working 1
DCG-200Z ENI DC22S-Z022000010A Plasma Generator Rev. E 0190-08034 Tested Working 1
Power-One HN5-9/OVP-A Power Supply Lot of 2 KLA-Tencor AIT I Used Working 1
Tektronix A6902A Isolator 2-Channel with Probes Used Working 1
AE Advanced Energy 2196-000-01 DC Interface Module Display Panel Used Working 1
AE Advanced Energy 2196-000-A DC Interface Module Display Panel Used Working 2
AE Advanced Energy 3152196-000A DC Interface Module Display Panel Used Working 1
AE Advanced Energy 3152334-000B Interface Monitor Display Panel Used Working 2
AE Advanced Energy 3152334-000B Interface Monitor Display Panel Used Working 2
MDX AE Advanced Energy 3152194-004 V Magnetron Drive MASTER Used Tested Working 1
MDX 10K AE Advanced Energy 3152194-008 V DC Supply SLAVE Used Tested Working 1
PDP 2500 AE Advanced Energy 3156012-002A RF Pulsed Plasma Used Tested Working 1
MDX 10K AE Advanced Energy 3152194-039 B DC Supply MASTER Tested Not Working 1
MDX 10K AE Advanced Energy 3152194-004 W Magnetron Drive MASTER Tested Working 1
MDX-10K AE Advanced Energy 2194-008-V DC Supply SLAVE Used Tested Working 1
Kaijo 78101-AD6-UL Ultrasonic Generator HI MEGASONIC 900 Used Working 1
CTI-Cryogenics 8052001 Controller 8001 Reseller Lot of 2 Untested As-Is 1
CTI-Cryogenics 8052300G001 Control Module 8011 Used Working 1
HC30 Kashiyama HC30B Screw Drive Dry Vacuum Pump Varian VSp30 Untested As-Is 1
Ultrapointe 801-1002-01 BF/DF Control Motor Driver PCB 000675 Used Working 1
Ultrapointe 801-1002-01 Filter Wheel Driver Board PCB Rev. 03 KLA CRS-1010S Used 2
Ultrapointe 801-1002-01 Filter Wheel Driver Board PCB Rev. 04 KLA CRS-1010S Used 2
Edwards D37410212 iH iL Dry Vacuum Pump Standard Control Module Used Working 1
Kofloc 3810M Mass Flow Sensor 3810 60 SLM N2 Edwards A53340003 iH Tested Working 1
SCI Solid Controls Inc 440-560 Processor Board PCB Card 440-579 Used Working 2
SCI Solid Controls Inc 8024-0130 Fuse Board PCB Card 428-628 Used Working 2
SCI Solid Controls 428-957 Transformer Board PCB Card VSE 0428-9570 Used Working 1
SCI Solid Controls 428-957 Transformer Board PCB Card 0428-9560 Used Working 1
SCI Solid Controls 428-400 Firing Controller Board PCB Card 428-399 Used Working 1
SCI Solid Controls 428-4001 Firing Controller PCB Card 428-399 8024-0137 Used 1
SCI Solid Controls 428-406 System Controller PCB Card 428-405 Used Working 1
SCI Solid Controls 428-4060 System Controller PCB Card VSE 0428-4060 Used 1
Hine Design 48V Elevator Wafer Transport Nordiko Technical Services 9550 Used 1
Hine Design Wafer Transfer Robot Assembly Nordiko Technical Services 9550 Used 1
Clippard Instrument Laboratory EMC-08 8-Port Pneumatic Control Board PCB Used 1
Nikon 4S017-866-B Interface Relay Card PCB STGLIUIF NSR-S202A Used Working 1
Nikon 4S018-168 Interferometer LC IF PCB Card LCLIUIF NSR-S202A Working Spare 1
HP Hewlett-Packard 10897-60002 Laser Axis Control Card PCB 10897B NSR-S202A Used 1
Texas Instruments 2540169-0001 Industrial CCD Inspection Camera NSR-S202A Used 2
Heason Technology 100-00915 Fast Shutter Motion Controller Nordiko 9550 Used 1
VAT 641PM-36PM-0002 Adaptive Pressure Controller PM-5 87881-R1 Used Working 1
Glassman LV 300-3.5 DC Power Supply 0-300 Volts 0-3.5 Amps Used Working 1
Nordiko Watchdog Module N600613EE 9550 Shield Metal Film PVD System Used Working 1
Hine Design 48V Elevator Wafer Transport Nordiko Technical Services 9606 Used 1
VAT 02011-BA24-1002 Pneumatic Vacuum Slit Valve Nordiko 9550 Used Working 1
VAT 20046-PA14-1001 Vatterfly Valve Series 200 Used Working 3
VAT 14046-CE34-0005 High Vacuum Gate Valve Nordiko 9550 Used Working 1
Leda-Mass Spectra Vacscan 100 Residual Gas Analyzer RGA Cables Nordiko 9550 Used 1
MKS Instruments 120AA-000.0RAJ Baratron Absolute Capacitance Manometer Used 1
Parker GV6K-U12E Servo Drive Controller Gemini GV6K Used Working 2
MKS Instruments LM2 RGA Residual Gas Analyzer Probe LM10 RF Head Spectra Used 1
Nordiko Technical Services N600596EE Processor PCB Card TLTD-2 9550 Used Working 2
Nordiko Technical Services D00022 Amplifier PCB Card TLTD-2/425 9550 Used 1
Nordiko Technical Services D00022 Amplifier PCB Card TLTD-2/425 Full Posts Used 1
Nordiko Technical Services D00022 Amplifier PCB Card TLTD-2/425 Plugs Used 1
Nordiko Technical Services N930037.SA Operational Amplifier PCB Card Used 1
SCI Solid Controls 428-409 Smart Board PCB Card VSE 8024-0139 Used Working 1
SCI Solid Controls 428-409 Smart Board PCB Card 428-408 Used Working 1
RFPP RF Power Products 9520317010 RF Filter Box Used Working 1
Huntington Mechanical Laboratories EV-100-SF Manual Angle Vacuum Valve Used 1
MKS Instrument 161-0040C Inline Manual Valve 2.75" Conflat HPS Used Working 1
VAT 64250-UE52-AAT1 Motorized Actuator HV High Vacuum Gate Valve Used Working 1
Seren 9200010000 Automatic RF Matching Network Controller MC2 MC2-110 Used 1
RF10S RFPP RF Power Products 7520709030 RF Generator 1000W Nordiko 9550 Used 1
Cesar 1350 Dressler 61300101 RF Power Generator 5000W @ 13.56MHz AE Used Working 1
MDX Pinnacle AE Advanced Energy 3152363-004 A DC Generator Used Working 1
MDX Pinnacle AE Advanced Energy 3152363-016 B DC Generator Used Working 2
QDP40 Edwards 528-40-905 Vacuum Pump Drystar Rack Q Series 2 MCM Tested Working 1
QDP40 Edwards 528-40-905 Vacuum Pump Drystar Rack Q Series 2 MCM Tested Working 3
Eurotherm 462/062/13/19/008/055/96/00 Analogue Input Thyristor Unit 462 Used 1
B&B Electronics ESR904 4-Port Industrial Ethernet Serial Server V3.0 V-LINX Used 2
MRC Materials Research A120024 Sputtering System Remote Stand Eclipse Star Used 1
MKS Instruments 1179A21CR1BV--S Mass Flow Controller MFC 20 SCCM O2 Used Working 1
Kollmorgen 00D09D02001-1M Servo Motor with Gearhead PG60-005 Nordiko 9550 Used 1
RFPP RF Power Products 7004-0100-5 RF Match Network Controller I22050001 Used 1
RFPP RF Power Products 7004-0100-5 RF Match Network Controller I22050001 Used 3
AE Advanced Energy 3150302-000 B RF Match Network Controller Used Working 1
RFVII 3150302-000 B RF Match Autotuning Network Controller Used Working 1
Merrimac PS-5-13.5/26453 RF Coaxial Phase Shifter with Cables 0260-1752-20 Used 1
Astech PSC-2 II RF Auto Phase Shifter 13.56MHz with Cables Used Working 1
CTI-Cryogenics 8042002G003 Temperature Indicator Used Working 2
MKS Instruments 270D-4 High Accuracy Signal Conditioner Type 270D Used Working 3
MKS Instruments 252D-1-VPO Exhaust Valve Controller Type 252 Used Working 1
Granville-Phillips 307001 307 Vacuum Gauge Controller 307005/06 Used Working 1
Granville-Phillips 307502-D00-T1 Vacuum Gauge Controller 307100 Used Working 1
Seren 9400250011 Automatic RF Matching Network AT50/140 5000W 13.56MHz Used 1
Seren 9400250001 Automatic RF Matching Network AT50/140 5000W 13.56MHz Used 1
Seren 9400410004 Automatic RF Matching Network ATS10M 1000W 13.56MHz Used 1
Fanuc A20B-1006-0490/02A Servo Test Board PCB Nordiko 9550 Used Working 1
SVG Silicon Valley Group PDPI Photoresist Catch Cup 200mm 90S DUV Used 1
Seren 9500160000 27.12MHz RF Trap LPF2S5K with 10.5M RF Cable Used Working 2
VAT 26328-KA01-0001 Manual Right Angle Vacuum Valve Used Working 2
Granville-Phill​ips 370121 Ion Gauge UHV-Y STABIL-ION NW40 Used Working 2
Nordiko D00021 Platform Low Tension DC Power Supply 9550 PVD Sputtering Used 1
Nordiko Rotating Magnet Drive Controller Copley 423 9550 PVD Sputtering Used 1
Nordiko Rotating Magnet Power Supply Copley TR239-45 9550 PVD Sputtering Used 1
Nordiko D00019 Platform Low Tension DC Power Supply 9550 PVD Sputtering Used 1
Nordiko MAG AMP Rotating Magnet Amplifier Controller 9550 PVD Sputtering Used 1
PML Printed Motor GM16T-1174 DC Disc Servo Motor Assembly Nordiko 9550 Used 2
Kollmorgen 00-01607-043 DC Disc Servo Motor Assembly Nordiko 9550 Used Working 2
Lenze 0.37 28-12.622.10 1-71L/4 Motor Nordiko A03520 9550 Used Working 1
Nixsys NX81 Desktop PC Nordiko 9550 GFX II Control Computer System Used Working 1
HP Hewlett-Packard 500B MT Desktop PC GFX II Computer System Nordiko 9550 Used 1
MeiVac 2460/2480 Turbo and Cryo System Control Computer Alcatel 2460 Used 1
MeiVac 2460/2480 Turbo and Cryo System Control Computer 1.0HGz Alcatel 2460 Used 1
MeiVac 2460 Turbo and Cryo System Control Computer 3.0GHz Alcatel 2460 Used 1
Ultratech Stepper 4700 Titan Wafer Projection Stepper 1
Ultratech Stepper 4700 Titan Wafer Projection Stepper 1
Sankyo Seiki 5407001 4-Axis Pick & Place Robot SR5407 SCARA ART Applied As-Is 1
RFX 2500 AE Advanced Energy 5011-000-D RF Generator - TEST 1
iF1400 Edwards iF 1400 Rapid Loadlock Dry Pump 13471 Hours Used Tested Working 1
iF1400 Edwards iF 1400 Rapid Loadlock Dry Pump 14310 Hours Used 1
GX6/100N Edwards A537-31-958 Dry Vacuum Pump Used Tested Working 1
Nordiko NLS90V Linear Servo Controller 9550 Shield Metal Film PVD System Used 1
Intelligent Instrumentation EDAS-2004M-1 PLC Digital Input Unit EDAS Used 1
GE Fanuc Series 90-30 10-Slot PLC Control System IC693PWR321S IC693CPU331N Used 1
GE Fanuc Series 90-30 10-Slot PLC Control System IC693PWR321S IC693CPU331Y Used 1
GE Fanuc Series 90-30 10-Slot PLC Control System IC693PWR321N IC693MDL645B Used 1
GE Fanuc Series 90-30 10-Slot PLC Control System IC693PWR321S IC693MDL645D Used 1
GE Fanuc Series 90-30 10-Slot PLC Control System IC693PWR321S IC693MDL741E Used 1
Agilent G1099-60222 Mass Selective Detector Analyzer HP 5973 Loose Plug Used 1
MeiVac CVQ-250-ISO-U-SM Aluminium Radial Vane VARI-Q Throttle Valve Used Working 4
MKS Instruments Straight Nipple Adapter UHV High Vacuum ISO250 ISO-F 3" HPS Used 5
MKS Instruments Straight Nipple Adapter UHV High Vacuum ISO250 K to ASA 6 Used 1
Genmark GENCOBOT 4/3L Wafer Handling Robot Ultratech Stepper 4700 Titan Used 1
VAT 14046-TE44-AAC1 HV High Vacuum Gate Valve Alcatel 2460 Used Working 2
Motorola 84-W8839B01B Transition Module PCB Card MVME 712/M Ultratech 4700 Used 2
Ultratech Stepper 03-15-02088 Trans Combo Board PCB Card 4700 Used Working 3
Ultratech Stepper 03-15-02066 6-Axis Laser Trans X-Axis PCB Card 4700 Used 3
Ultratech Stepper 03-15-02066 6-Axis Laser Transition XT-Axis PCB Card 4700 Used 3
Ultratech Stepper 03-15-02066 6-Axis Laser Transition XP-Axis PCB Card 4700 Used 3
Ultratech Stepper 03-15-02066 6-Axis Laser Transition Y-Axis PCB Card 4700 Used 3
Ultratech Stepper 03-15-02066 6-Axis Laser Transition YT-Axis PCB Card 4700 Used 3
Ultratech Stepper 03-15-02066 6-Axis Laser Transition YP-Axis PCB Card 4700 Used 3
Ultratech Stepper 03-20-01705 General I/O Transition PCB Card 4700 Titan Used 3
Ultratech Stepper 03-20-01705 General I/O Transition PCB Card Rev. D1 Titan Used 2
Ultratech Stepper 03-20-01705 General Transition PCB Card GEN I/O 1 Titan Used 2
Ultratech Stepper 03-20-01705 General Transition PCB Card GEN I/O 2 Titan Used 2
Ultratech Stepper 03-20-01124 Transition X Stage ASH PCB Card Rev. D Titan Used 3
Ultratech Stepper 03-20-01124 Transition Y Stage ASH PCB Card Rev. D Titan Used 3
Ultratech Stepper 03-20-01299-02 Transition Alignment ASH PCB Card Rev. C Used 3
Ultratech Stepper 03-15-00308 Transition ASH Stepper PCB Card WAS Titan Used 3
Ultratech Stepper 03-15-02702 Transition Step MOT/COOLER ASH PCB Card Titan Used 2
Ultratech Stepper 03-20-01961 5 Axis ASH Focus Transition PCB Card Rev. D Used 3
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Left X 1
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Left X 3
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Left Y 3
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Right X 3
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Right Y 3
Ultratech Stepper 03-15-00302 Transition Driver Stepper PCB Card WAS DVR Used 3
Ultratech Stepper 03-20-01600 Transition Stepper Motor Driver PCB Card Titan 3
Ultratech Stepper 03-20-02040 Focus Driver Transition PCB Card Titan 4700 Used 3
Quantum 655-0246 A 365MB SCSI Hard Drive Card Ultratech Stepper Titan 4700 Used 1
SyQuest 35004 SCSI Backup Drive 1.5GB SYJET1SI Ultratech Stepper Titan 4700 Used 2
Lambda Electronics LIS-3I-15 Power Supply PCB Card -15VDC Used Working 3
LaCie Tsunami 540MB Quantum Fireball External Drive Ultratech Titan 4700 Used 1
Ultratech Stepper 03-20-01989 5 Axis Focus Driver FOCUS PCB Card Titan Used 1
Ultratech Stepper 03-20-00933-03 Switching Power Supply Rev. A1 PCB Card Titan 2
Ulvac Technologies Enviro II Dual Chamber Advanced RF Strip System for 8" Wafers 1
Cognex 200-0028 VM-14 Vision Processor VME PCB Card Rev. Q Ultratech 4700 Titan 1
Ultratech Stepper 03-20-04940 5 Axis Stage ASH PCB Board Rev. A 4700 Titan Used 3
Ultratech Stepper 03-20-00784-02 VME Slave Processor PCB Board 4700 Titan Used 4
Ultratech Stepper 03-20-00784-01 VME Slave Processor PCB Board 4700 Titan Used 2
Ultratech Stepper 03-20-04940 5 Axis Stage ASH PCB Board Rev. C 4700 Titan Used 1
Ultratech Stepper 03-20-00784 VME Slave Processor Board PCB MCVME Titan Used 1
Ultratech Stepper 03-20-01967 5 Axis ASH Focus Control Board PCB Titan Used 1
Ultratech Stepper 03-20-00917 Stepper Motor ASH Board PCB Titan Used Working 1
Ultratech Stepper 03-20-01933 5 Axis ASH Alignment Board PCB Titan Used Working 1
VAT 14048-PE44-1017 HV High Vacuum Gate Valve Alcatel 2460 Used Working 1
Ultratech Stepper 03-20-02567 Photomultiplier Amplifier M2000 PCB Board Used 3
Ultratech Stepper 03-15-02149 I-Line Laser Distribution PCB Board Used 3
Ultratech Stepper 03-20-01321 Analog Alignment Board PCB 4700 Titan Used Working16890 1
Ultratech Stepper 03-15-05643 Theta Vac/Chuck Clamp Board PCB 4700 Titan Used 1
Ultratech Stepper 03-15-07175 Chuck Vacuum Auto Apature Board PCB 4700 Titan Used 1
RFPP RF Power Products 762102001 RF Automatic Matching Network AM-50 5000W Used 1
RFPP RF Power Products 7621020040 RF Automatic Matching Network AM-50 5000W Used 1
RFPP RF Power Products 7624923010 RF Automatic Matching Network AM-20 2000W Used 2
RFPP RF Power Products 7610807021 RF Automatic Matching Network AM-20 2000W Used 1
Ultratech Stepper 01-15-00326 Laser Lamp Power Indicator Switch 4700 Titan Used 2
Ultratech Stepper Interchangeable Ignition Transformer 638-171 4700 Titan Used 2
Ultratech Stepper Interchangeable Ignition Transformer A10-LA2 4700 Titan Used 1
Leeson Electric C4D17FK5F Direct Current Permanent Magnet Motor 108022.00 Used 1
Leeson Electric C4D17FK5G Direct Current Permanent Magnet Motor 108022.00 Used 1
Leeson Electric C4D17FK5I Direct Current Permanent Magnet Motor 108022.00 Used 1
Granville-Phillips 274006 Ionization Vacuum Gauge with 25' Cable Used Working 1
RFPP RF Power Products 0251-0331-3 RF Cable 24 Foot Alcatel 2460 Used Working 3
MKS Instruments 122AA-00100BB Baratron Pressure Transducer 100 Torr Used Working 2
MKS Instruments 2179A22CR1BV--S Mass Flow Controller MFC 200 SCCM He Used 1
MKS Instruments 2259C-00200RV Mass Flow Controller MFC Assembly 200 SCCM N2 Used 2
MKS Instruments 2259C-00200RV-S Mass Flow Controller MFC Assembly 200 SCCM He 1
Granville-Phillips 275503 275 Mini-Convectron Vacuum Gauge Used Working 1
Granville-Phillips 275806-EU 275 Mini-Convectron Vacuum Gauge Rev. 0 Used 3
RFPP RF Power Products 0251-0331-6 2kW RF Cable Rev. L 24 Foot Used Working 2
RFPP RF Power Products 0251-0331-6 2kW RF Cable Rev. M 24 Foot Used Working 1
Nor-Cal Products ESVP-1502-NW Pneumatic Isolation Angle Vacuum Valve Used 1
Nor-Cal Products ESVP-075 Pneumatic Isolation Angle Vacuum Valve Lot of 2 Used 1
MKS Instruments 93-0501 Ultra High Vacuum Right Angle Two-Stage Pneumatic Valve 2
Bison 507-02-133C Right Angle DC Gearmotor 26-999-2004-005 Reseller Lot of 2 1
Bison 507-02-133C Right Angle DC Gearmotor 26-999-2004-005 Reseller Lot of 2 1
Ultratech Stepper 03-20-01955 5 Axis Focus A/D Board PCB 4700 Titan Used Working 1
Ultratech Stepper 03-20-01420 Air Gauge Amplifier Board PCB 4700 Titan Used 1
Ultratech Stepper 03-15-06034 Dual Stack Reticle Library Board PCB Used Working 1
Ultratech Stepper 03-20-01321 Analog Alignment Board PCB Rev. C 4700 Titan Used 1
Ultratech Stepper 03-20-01321 Analog Alignment Board PCB Rev. B 4700 Titan Used 1
Ultratech Stepper 03-20-03996 WTC Breakout Board PCB 4700 Titan Used Working 2
Ultratech Stepper 03-20-02114 Transfer Arm Interconnect Board PCB 4700 Used 1
Ultratech Stepper 03-15-02049 WAS Breakout Board PCB 4700 Used Working 1
Ultratech Stepper 03-15-02049 WAS Breakout Board PCB 4700 Used Working 1
Ultratech Stepper 03-15-07175 Auto Aperture Vacuum Chuck Board PCB 4700 Used 1
Litton Poly-Scientific AC4598-6 Slip Ring Assembly Nordiko 9550 Used Working 1
Osaka TG1100FBWB Turbomolecular Pump System TC1103 0 Hours Used Tested Working 2
Osaka TG1100FBWB Turbomolecular Pump System TC1103 15302 hrs Used Tested Working 1
HP Hewlett-Packard 10740-60001 Backplane Coupler Board PCB Used Working 1
VAT 10846-TE24-0004 UHV High Vacuum Gate Valve Used Working 1
Ultratech Stepper 03-15-04253 Power Driver Backplane PCB 4700 Used Working 2
Ultratech Stepper 03-20-04930-01 GEN I/O #2 Drive Breakout Transition PCB Card 1
Ultratech Stepper 03-20-04930-02 GEN I/O #2 Drive Breakout Transition PCB Card 1
Ultratech Stepper 03-20-02032-02 Power Distribution Board PCB Card Used Working 2
Ultratech Stepper 03-20-02032-02 Power Distribution Board PCB Card Used Working 2
Ultratech Stepper 03-20-02294-02 General I/O Beakout 1 PCB Card Used 2
Ultratech Stepper 03-20-0221-02 General I/O Breakout II PCB Card Used Working 2
Ultratech Stepper 03-15-06698-02 General I/O Breakout 3 PCB Card Used Working 1
Ultratech Stepper 03-20-01379 Power Supply PCB Card WR24A05/5000U Used Working 1
Ultratech Stepper 03-20-00954 Stepper Motor MUX Board PCB Card Used Working 1
Ultratech Stepper 03-20-00954 Stepper Motor MUX Board PCB Used Working 1
RF20H RFPP RF Power Products 7500000002 RF Generator Tested Not Working As-Is 1
RF20H RFPP RF Power Products 7500000002 RF Generator 2460 Used Tested Working 1
Excel 1012A Plane Mirror Interferometer Laser Assembly with 1016A 1013A Used 2
Lambda LRS-55-24 Regulated Power Supply LRS-53-24 LRS-53-5 Lot of 3 Used Working 1
RPS Radiation Power Systems HA-UPR500C-LE Lamp Short Arc Pulsing Regulator Used 1
Ultratech Stepper 01-08-01233 Pneumatic Operation Panel UltraStep 1700 MVS Used 1
Ultratech Stepper Theta Stage Wafer Assembly 0555-591400 UltraStep 1700 MVS Used 1
Ultratech Stepper 0584-700668 Reticle Stage with Slider UltraStep 1700 MVS Used 1
Ultratech Stepper 01-08-00572 Pneumatic Air Gauge Control Assembly 1700 MVS Used 1
Ultratech Stepper WAS Wafer Alignment System Assembly 1700 MVS Used Working 1
Ultratech Stepper 0553-615900 Optical Edge Switch PCB 1700 MVS Used Working 1
Ultratech Stepper 0523-701008 PMT Stage Safety Board PCB 1700 MVS Used Working 1
Ultratech Stepper 01-08-00545 ACTINIC/Exposure Shutter Driver 1700 Used Working 1
Agilent Technologies 5517B Laser Head 277uW Ultratech 1700 MVS Used Working 1
Ultratech Stepper Illuminator Exposure Detector Focus Assembly 1700 MVS Used 1
Ultratech Stepper Illuminator Exposure Lamp and Shutter Assembly 1700 MVS Used 1
HP Hewlett-Packard 10780F Remote Receiver Option C09 Agilent Technologies Used 12
RPS Radiation Power Systems 3060 Igniter Module UltraStep 4700 Used Working 1
RPS Radiation Power Systems UTS3060 Igniter Module UltraStep 4700 Bent Used 1
HP Hewlett-Packard 10737R 3-Axis Interferometer Assembly Ultratech 4700 Used 2
Ultratech Stepper 01-08-00572 Pneumatic Air Gauge Control Assembly 4700 Used 1
Ultratech Stepper Transducer and Pneumatic Distribution Assembly 4700 Titan Used 1
Ultratech Stepper 01-17-00047 Optical Mirror Lens Stepper Assembly 4700 Used 1
ASTeX AX3060 SmartMatch Intelligent Microwave Matching Unit Used Working 1
MKS Instruments 153D-15575 Throttle Valve Controller Type 153 Used Working 1
Ulvac KEYTRAN-II Ultra High Vacuum Wafer Transfer Robot UHV Ceraus ZX-1000 Used 1
Ultratech Stepper 04-15-04026 Reticle Stage with Slider UltraStep 4700 Used 1
Ultratech Stepper 01-15-06778 Reticle XY Alignment Sensor UltraStep 4700 Used 1
Ultratech Stepper Wafer Transport Assembly 03-15-06672 UltraStep 4700 Used 1
Ultratech Stepper Wafer Transport Assembly 03-15-06672 UltraStep 4700 As-Is 1
Ultratech Stepper Wafer Inspection Stage Transport Assembly UltraStep 4700 Used 1
Ultratech Stepper Wafer Inspection Stage Transport Assembly UltraStep 4700 As-Is 1
Ultratech Stepper 01-15-04736D Reticle Gripper Swing Arm UltraStep 4700 Used 1
Ultratech Stepper Wafer Holder End Effector Transfer Fork UltraStep 4700 Used 2
RPS Radiation Power Systems 01-15-04354 Illuminator Controller Ultratech 4700 1
Ultratech Stepper Illuminator Exposure Detector Focus Assembly 4700 Titan Used 1
Ultratech Stepper Illuminator Exposure Lamp and Shutter Assembly 4700 Titan Used 1
Ultratech Stepper 03-15-02305 Photo Preamplifier PCB UltraStep 4700 Used Working 1
Ultratech Stepper Right Left Alignment Lens Assembly 14-15-05800 4700 Used 1
Ultratech Stepper Lens Block UltraStep 4700 Titan Wafer Stepper Used Working 1
Ultratech Stepper 01-17-00006 Photomultiplier Lens Alignment Assembly 4700 Used 1
Kensington Laboratories 77-4000-6108-00 Axis Multilink PCB Card v10.45 ZCHD Used 1
Intec Electronic IDEPA V2.2 Amplifier Board PCB Used Working 2
Intec Electronic IDEVV V2.1 Processor Interface Board PCB Used Working 1
Intec Electronic TC V2.1 Processor Contoller Board PCB IMCC31 V2.0 Used Working 1
Swagelok SS-4BMG-VCR Metering Bellow-Sealed Valve Reseller Lot of 4 Used 1
Semitool 16799-501-0068 Processor Interface Board PCB Used Working 1
Intec Electronic IDEPS V1.1 DC/DC Convertor Board PCB Used Working 1
Centent CN0170 2 Axis Step Motor Motion Controller Drive Used Working 2
Applied Motion 5560 Step Motor Driver Reseller Lot of 2 Used Working 3
Marsh Bellofram 966-630-000 Pneumatic Transducer Type 1001 T-1001 Used Working 4
Brooks Automation TLG-L1-1000-S0-00EB Transponder Reader w/ ANT-1FXX-IR5-2 Used 1
Ultratech Stepper 03-15-02860 MVS Interface 2244I PCB UltraStep 4700 Used 1
Ultratech Stepper 03-15-02860 MVS Interface 2244I PCB Missing Switch Used 1
MKS Instruments FI20162-1 RF Mag Head 3.0kW @ 2.45GHz ASTeX New Surplus 1
Genmark Automation 910500012 Robot Prealigner Cable 7.5 Foot Rev. 6 Used Working 2
Genmark Automation 910500012 Robot Prealigner Cable 7.5 Foot Rev. 4 Used Working 1
Genmark Automation Prealigner Cable 14’ Ultratech Stepper UltraStep 4700 Used 1
Genmark Automation Robot Cable 14’ Ultratech Stepper UltraStep 4700 Used 1
Logosol MC21.17.1427 Three Axis DSP Controller PCB MC-21-1007 FlexWare Genmark 1
Logosol LS-4.954.0190 LS4 DSP Controller PCB Card LS-4 #7044 FlexWare Genmark 1
Logosol LS-4.954.0125 LS4 DSP Controller PCB Card LS-4 #6486 FlexWare Genmark 1
Logosol DE/RS-1-1111 Processor PCB Card 9507 DE/RS 11-1111 Used Working 1
Aaeon 1907411002 SBC Single Board Computer PCB Card SBC-411/411E Used Working 1
Logosol BC-06-0002 Backplane Interface Board PCB 9506 Used Working 1
Brooks Automation 398-19599-1 Wafer Robot Paddle End Effector Fork Used Working 1
Brooks Automation 398-19335-1 Wafer Robot Paddle End Effector Fork Used Working 1
Asyst Technologies 9700-5819-01 Fan Filter Unit Controller CMS II Rev. 5 Used 1
AP Tech AP1210SM 2PW FV8 FV8 Manual Pressure Regulator Valve Used Working 1
AMAT Applied Materials ULP-2-.350-N/S Low Pass Filter 0190-09186 Lot of 2 Used 1
MKS Instruments FI20162-1 RF Mag Head 3.0kW @ 2.45GHz ASTeX Used Working 1
Ulvac Technologies 310003B3 Arc Monitor ARQUEST D-1000 Used Working 1
Oriental Motor PK564-NB 5-Phase Stepping Motor Vexta Used Working 1
Bausch & Lomb StereoZoom 4 Microscope Head with Bracket Reseller Lot of 3 As-Is 1
GaSonics A90-039-02 Aura Lamp Current Sensors Reseller Lot of 3 Used Working 1
GaSonics/IPC A90-040-01 Heater/Lamp Detect Board PCB Used Working 1
CTI-Cryogenics 8112579G001 Roughing Valve Cryopump Used Working 1
AMAT Applied Materials 0240-30104 Delta TEOS or NITRIDE Gas Box Kit New Surplus 1
Edwards E21909516 iQDP Power Cable 1 Foot iQDP40 iQDP80 Reseller Lot of 3 Used 12
Parker 71-023382-50 Rotary Motor Encoder Cable Compumotor 50 Foot SPMX Used 1
Parker 71-021627-50 Rotary Motor Power Cable Compumotor 50 Foot SPMX Used 1
Parker 71-023382-10 Encoder and Power Cable 71-021627 Set of 2 10 Foot SPMX Used 1
Clippard EMC-12 12-Port Electronic Pneumatic Manifold Board PCB Used Working 1
Berkeley Process Control USA2-11-28-UR Multi-Axis Servo Pump Amp Used Working 1
Omron 61F-GP-NH Floatless Level Switch with Socket Reseller Lot of 4 Used 1
TDK FAW24-6K Power Supply EAK12-2R5G EAK24-2R1G Reseller Lot of 10 Used Working 1
Omron SYSMAC C200HS Programmable Logic Controller PLC Assembly C200HS-CPU01 Used 1
Omron SYSMAC CS1G Programmable Logic Controller PLC Assembly CS1G-CPU43H Used 1
Welwyn C1609 Tubular Vitreous Enamelled Wirewound Resistor 33K 5% Lot of 4 Used 1
Ulvac Technologies High Voltage Relay Interface Assembly Gigavac 3CXS7 Used 2
Ulvac Technologies M-13 Ionization Vacuum Gauge Sensor Head New Surplus 3
Inficon 3310-00282 Pirani Capacitance Diaphragm Gauge PCG550 Used Working 1
UE Precision Sensors E48W-H79 Absolute Pressure Switch Used Working 1
MDC Vacuum Products 463020 Glass Seal Off NW16 New Surplus 1
Panasonic MQDB022AAD AC Servo Drive Used Working 3
Sanyo Denki PV1A015SMT1P50 Servo Amplifier BL Super PV Used Working 1
Sanyo Denki PV2A015S7F1PA2 Servo Amplifier BL Super PV AMAT 0190-14711 Used 1
Sanyo Denki PV1A015SM51P50 Servo Amplifier Super PV AMAT 0870-01085 Refurbished 1
Sanyo Denki PV1A015SM51P50 Servo Amplifier Super PV AMAT 0870-01085 Refurbished 1
SMC XGT223-46236-1C-X411 Pneumatic Slit Valve Used Working 1
Ulvac Technologies MV08-9601-0 Quartz Disc Used Working 1
Ulvac Technologies MV08-9601-0 Quartz Disc Used Working 1
Ulvac Technologies EM1026-169-10A Cathode Cover Ring Used Working 1
Ulvac Technologies EM1259-130-02A 8" Quartz Platen Ring New Surplus 1
Ulvac Technologies EM1638-090-34D 8" End Effector Ceraus ZX-1000 200mm New 2
Ulvac Technologies VM0386-045-02B Pick Up End Effector 200mm Ceraus ZX-1000 New 1
Ulvac Technologies EM1638-090-34C 8" Pick Up End Effector 200mm ZX-1000 New 1
Ulvac Technologies EM2120-130-02A 8" Quartz Platen Ring Ceraus ZX-1000 200mm New 1
Ulvac Technologies EM2120-130-02A 8" Quartz Platen Ring ZX-1000 200mm Used 2
Ulvac Technologies WPB-10-034 Vacuum Pirani Gauge Sensor Head New Surplus 7
Ulvac Technologies M-24 Ionization Vacuum Gauge Sensor Head New Surplus 1
Ulvac Technologies ST-Z-K-001 K Sheath Thermocouple Set of 2 Ceraus ZX-1000 New 1
Ulvac Technologies EM1263-683-01A Bellows Lifter Ceraus ZX-1000 New Surplus 2
Ulvac Technologies EM1323-138-25A Thermocouple Set of 2 Ceraus ZX-1000 New 2
Ulvac Technologies EM0867-131-25A Thermocouple Set of 2 Ceraus ZX-1000 New 1
Ulvac Technologies EM1474-176-21B Plasma Jig Mounting Plate Ceraus ZX-1000 New 2
VAT 212473 Aluminium Gate Special Seal Ulvac Technologies 1027804 New Surplus 1
Ulvac Technologies WP-01 Vacuum Pirani Gauge Sensor Head New Surplus 7
Ulvac Technologies EM0852-160-04A Ti Target Holder Ceraus ZX-1000 New Surplus 2
Ulvac Technologies Quartz Heater Cover 200mm Ceraus ZX-1000 PVD New Surplus 2
Ulvac Technologies EM0867-131-24B Cu Sheet B Ceraus ZX-1000 PVD New Surplus 2
GM Associates 673-1018518 Ring Set of 2 1018518-03 GMA049-0017 GMA049-0018 New 1
GM Associates 673-1018518 Ring Set of 2 1018518-03 GMA049-0017 GMA049-0018 New 1
GM Associates 673-1015980 Pedestal Nest 40D C'BORE 1015980-07 GMA347-0001 New 1
GM Associates 673-1015980 Pedestal Nest 40D C'BORE 1015980-07 GMA347-0011 New 1
Ulvac Technologies EM0867-169-02A Ring Insulator Ceraus ZX-1000 PVD New Surplus 1
Ulvac Technologies EM1562-153-05B Shield Ring Cover Ceraus ZX-1000 PVD New 1
Ulvac Technologies KH-2901H 8" Hot Plate PVD Ceraus ZX-1000 PVD New Surplus 5
Ulvac Technologies EM1435-176-12A Plasma Adjusting Jig Ceraus ZX-1000 PVD Used 3
Ulvac Technologies EM0867-181-02B Bellows Unit Ceraus ZX-1000 PVD New Surplus 1
Ulvac Technologies EM0867-126-03C IR Heater Ceraus ZX-1000 PVD New Surplus 1
Ulvac Technologies EM0867-126-02C IR Heater Ceraus ZX-1000 PVD New Surplus 1
Ulvac Technologies EM0663-025-03C Bellows Cassette Lifter Ceraus ZX-1000 New 1
Nippon Bearing SERS15AUU2-670P Slide Guide Ulvac Ceraus ZX-1000 New Surplus 1
VAT 77006-R1 Aluminium Gate Ulvac Technologies 1019042 New Surplus 2
Tech-Etch 1017451 Side Applicator Gasket Reseller Lot of 4 Ulvac ZX-1000 New 1
Tech-Etch 1017450 Side Applicator Filter Reseller Lot of 2 Ulvac ZX-1000 New 1
Ulvac Technologies NAXI-6040 Bearing Set IKO LRT 607025 NAX 7040 ZX-1000 New 1
GM Associates 673-1018882-X0 Quartz Applicator Tube 1018882-03 GMA-810-0007 New 1
GM Associates 673-1018882-X0 Quartz Applicator Tube 1018882-03 GMA-810-0008 New 1
Hamamatsu R955 Photomultiplier Tube Multialkali Photocathode New Surplus 2
Kobold SMW-7122DR0874 Flowmeter Switch Type SMW New Surplus 2
Parker FF352 2-453 O-Ring Ultra Parofluor FF352-75 1043737 New Surplus 4
BHK 80-7025-01 Low Pressure Mercury UV Analamp Reseller Lot of 2 New Surplus 1
Watlow AF1106701 Thermocouple Z260247 Reseller Lot of 4 New Surplus 1
Parker 2-388-SHG O-Ring Perfluoroelastomer Seal 880-5123-88 1019200 New 2
Parker Seals 2-223 O-Ring Ultra Parofluor Seal FF352 Reseller Lot of 8 New 1
Parker Seals 2-326 O-Ring Ultra Parofluor Seal FF352 Reseller Lot of 8 New 1
Parker Seals 2-114 O-Ring Ultra Parofluor Seal FF352 1043726 Lot of 14 New 1
Parker Seals 2-314 O-Ring Ultra Parofluor Seal FF352 Reseller Lot of 6 New 1
Parker Seals 2-130 O-Ring Ultra Parofluor Seal FF352 1037994 Lot of 6 New 1
Parker Seals 2-320 O-Ring Ultra Parofluor Seal FF352 Reseller Lot of 4 New 1
Parker Seals 2-263 O-Ring Ultra Parofluor Seal FF352 New Surplus 1
Parker Seals 2-366 O-Ring Ultra Parofluor Seal FF352 1043736 New Surplus 2
Parker Seals FF352 Small O-Rings UHP Ultra Parofluor Seal Reseller Lot of 20 New 1
Parker Seals 2-334 O-Ring Ultra Parofluor Seal FF352 Reseller Lot of 2 New 1
Parker Seals 2-259 O-Ring Ultra Parofluor Seal FF352 1043731 New Surplus 2
Parker Seals 2-330 O-Ring Ultra Parofluor Seal FF352 Reseller Lot of 3 New 1
Parker Seals FF352 Medium O-Rings Ultra Parofluor Seal Reseller Lot of 11 New 1
GM Associates 673-1017446 Quartz Downstream Tube Applicator Set of 2 Ulvac New 1
Valqua 22010914 P-300 O-Ring D0270 Fluoride 4640 Reseller Lot of 2 Ulvac ZX-1000 2
Valqua V-275 BUVc O-Ring D0270 Fluoride Reseller Lot of 3 Ulvac ZX-1000 New 1
Valqua 6X1820LE BUVc O-Ring D0270 Fluoride Reseller Set of 2 Ulvac ZX-1000 New 1
Valqua 6X1720LE BUVc O-Ring D0270 Fluoride Reseller Lot of 2 Ulvac ZX-1000 New 1
Valqua V 420 BUVc O-Ring D0270 Fluoride Reseller Lot of 3 Ulvac ZX-1000 New 1
Ulvac Technologies EM0867-147-08B Cooling Water Inlet Port Lot of 2 New 1
Ulvac EM0867-169-09C Cooling Water Inlet Port Insulator Lot of 3 Used Working 1
Ulvac Technologies WIB Vacuum Gauge Sensor Ion Tube New Surplus 1
Valqua P-360 BUVc O-Ring D0270 Fluoride Reseller Lot of 2 Ulvac ZX-1000 New 2
Ulvac Technologies EM1638-090-34D 8" Pick Up End Effector 200mm ZX-1000 Used 1
Sentec HA-50S Y Axis Sensor Head Nikon NSR Series Used Working 1
Sentec HA-50S X Axis Sensor Head Nikon NSR Series Used Working 1
Edwards A52844430 Vacuum Pump im Interface Module MCM TIM Used Working 5
Edwards A52844430 Vacuum Pump im Interface Module MCM TIM Used Working 1
Edwards U20001189 iGX Series Vacuum Pump Power Cable 7 Foot Lot of 4 Used 1
Edwards A52844460 Vacuum Pump im Interface Module Used Working 3
Oriental Motor 2GK5K Gear Head Reducer Reseller Lot of 4 Used Working 1
Oriental Motor PH266-01GK Stepping Motor VEXTA Step Reseller Lot of 3 Used 2
Oriental Motor PH266-01 Stepping Motor VEXTA Step Reseller Lot of 5 Used 1
QMB500 Edwards A302-86-905 Vacuum Pump Mechanical Booster Used Tested Working 1
Yaskawa Electric SGDH-01AEY903 Servo Drive SERVOPACK AMAT 0190-08038 Used 15
Yaskawa Electric SGDH-CA04EA Sevro Drive PCB Used Working 3
Yaskawa Electric SGDH-CB01AA-T Sevro Drive Power/Interface PCB DF9203769-A0 Used 3
Scientech 301090 Flow Controller Regulator 301 Used Working 1
Yaskawa Electric SGDH-04AEY904 Servo Drive SERVOPACK AMAT 0190-08039 Used 9
Sanyo Denki PV1A030SGDNP50 Servo Amplifier BL Super PV Lot of 5 Missing Door New 1
Oriental Motor UDK5114NW2 5-Phase Servo Driver VEXTA Hitachi 1-827865-02 New 1
Sanyo Denki PV2A030WN61A0Y Servo Amplifier BL Super PV SERCOS Missing Door Used 1
Sanyo Denki 103-7501-7020 Stepping Motor with Encoder HEDS-5600 Lot of 2 Used 1
Sanyo Denki 103-7501-7020 Stepping Motor with Encoder HEDS-5645 Lot of 2 Used 1
SoftSwitching DS10050A120V2SH1000A Dynamic Voltage Sag Corrector MINI DySC Used 1
Rorze Automation RE120-002-001 Indexer Mapping Elevator Assembly RC-234 Used 1
Nippon Pillar PPLG0133A Bellows Metering Pump AMAT 3620-00328 New Surplus 2
Millipore WGEN21CN1 Gen 2 Terminal Photoresist Pump Controller Used Working 1
SMC US16219 Pneumatic Cylinder Actuator Head 15-169406-00 Used Working 1
Eastek P1228-PWR-208 Power Supply PCB 36-0428 Used Working 2
Eastek P1228-LSC Power Supply PCB 36-0427 Used Working 2
YSI 3500 Water Quality Monitor Temperature Conductivity pH-mV 3550 Chamber Used 1
Estek 398-18886-1 Pneumatic Vacuum Chuck Control Board PCB 398-18886-1 Used 1
Nordiko Technical Services N930022SA Amplifier PCB Card TLTD-1/425 9550 Used 1
TEL Tokyo Electron 308-600044-2 Backplane Interface Board PCB P-8 Used Working 1
TEL Tokyo Electron 2981-600374-11 FDD Extension Board PCB ACT12 Used Working 1
Taiyo Machinery CN-BASE Backplane Interface Board PCB ACT12 System Used Working 1
Sanyo Denki 103F7581-70XE44 Stepping Motor StepSyn Used Working 1
Sanyo Denki 103F5508-70XE42 Stepping Motor StepSyn Used Working 2
DNS Dainippon Screen DS-1211-ANALOG(D) I/O PCB Module SN-2016-TRDS Used Working 1
DNS Dainippon Screen DS-1211-ANALOG(D) I/O PCB Module SN-2016-TRDS Lot of 3 Used 1
iQDP80 Edwards IQ7140204XS Dry Vacuum Pump QMB1200 12145 Hours Tested Working 1
QMB1200 Edwards A305-85-905 Mechanical Booster 2808 Hours Used Tested Working 1
QMB1200 Edwards A305-86-905 Mechanical Booster 3505 Hours Copper Tested Working 1
QMB1200 60Hz Edwards A305-86-905 Mechanical Booster No Skins Used Untested As-Is 1
QMB500 Edwards A302-85-905 Mechanical Booster -1 Hours Used Tested Working 1
QMB1200 Edwards A305-86-905 Mechanical Booster 14100 Hours Copper Tested Working 1
QMB500 Edwards A302-85-905 Mechanical Booster -1 Hours Used Tested Working 1
QMB1200 Edwards A305-86-905 Mechanical Booster Copper Exposed Untested As-Is 1
QMB1200 Edwards QMB1200F Mechanical Booster Pump with Rack Used Untested As-Is 1
iQDP80 Edwards A532-40-905 Dry Vacuum Pump -1498 Hours Used Tested Working 1
iQDP40 Edwards A532-40-905 Dry Vacuum Pump -2497 Hrs Copper Used Tested Working 1
iQDP40 Edwards A532-40-905 Dry Vacuum Pump 14911 Hrs Copper Used Tested Working 1
Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Used Working 16
Edwards D02172000 Active Pirani Vacuum Gauge APG-M-NW25 ST/ST Used 1
Edwards C10517294 Braided Flexible Pipeline Bellows 5.5" NW50 Used Working 2
Edwards A44003000 Vacuum Exhaust Check Valve NW40 iQDP Series Used Working 1
Shimadzu 262-78491-15V2 Turbomolecular Pump Cable 50 Foot 15M Used Working 2
Shimadzu 263-11088-15V1 Turbomolecular Pump Signal Cable 50 Foot 15M Used 2
Shimadzu 263-78187-20V1 Turbomolecular Pump Signal Cable 65 Foot 20M Used 1
Hitachi 201F1 RF Cable 72 Foot 23M M-511E Microwave Plasma System Used Working 1
Hitachi EVAC CONT UNIT Power Distribution Module S-9300 No Control Board As-Is 2
Edwards C41401000 Electromagnetic Vacuum Valve PV40EKA Used Working 2
Varian Semiconductor Equipment VPI251205060 Vacuum Pump Isolation Valve Used 1
ASTRONex MKS Instruments FI80131 Plasma Source 2788 hours AMAT 0920-00057 Tested 1
Viewtronix XT1502T-CU17 Flat Panel Touch Screen Monitor 15" Used Working 1
APEX 1513 AE Advanced Energy 660-032596-214 C RF Generator Used Tested Working 1
APEX 5513 AE Advanced Energy 1011031 RF Generator 3156115-204 B Tested Working 1
iH1000 MK5 Edwards A59030945XS Dry Vacuum Pump System Tested Not Working As-Is 1
iQDP80 Edwards A532-80-905 Dry Vacuum Pump -1 Hours No Skins Used Tested Working 1
TEL Tokyo Electron T5163 Bell Jar Blankoff Plate MRC Materials Research Used 1
Panasonic KJIU0287 Servo Drive Board PCB GCMK-41X KJIU0290A JCI-DIS Used Working 1
iQDP80 Edwards A401-91-905 Vacuum Pump 24488 Hours No Skins Used Tested Working 1
iQDP80 Edwards IQ2100004xs Dry Vacuum Pump QMB500 Used Tested Working 1
Metron Technology D129805 200mm Wafer Holder Housing (MOD) MRC New 1
Pfeiffer TC 400 Split Vacuum Pump - TEST 1
Pfeiffer TMH 071 Turbomolecular Pump 1
Pfeiffer TMH 071 Turbomolecular Pump 1
Pfeiffer TMH 071 Turbomolecular Pump 1
Pfeiffer TMU 262 Turbomolecular Pump - TEST 1
Leybold SL80 Turbomolecular Pump w/TD400 Controller - TEST 1
KLA Instruments 710-657058-20 A/F LED Driver PCB Optical Assembly 2132 Used 2
Cosel PBA300F-24 Power Supply 24 Volt Reseller Lot of 6 Used Working 3
AMAT Applied Materials 0100-91055 Gap Servo PCB Card 0120-93017 Used Working 2
AMAT Applied Materials 0100-90940 Spin Scan Relay PCB Card Used Working 2
AMAT Applied Materials 0100-90899 Operator Prompt PCB 0120-92833 Used Working 2
Rorze RA310-812-001 300mm Wafer Aligner RA310 Series Used Working 1
Bristol Babcock 506008-630 Pressure Switch Reseller Lot of 2 Used Working 1
SensArray 1501A-8-0638 Process Probe Instrumented Wafer 200mm Used Working 1
Mactronix MCL-825 Transfer Machine MacLite 200mm KA198-80M Metal Cassette Used 1
MKS Instruments 622A12TBE Baratron Pressure Transducer 100 Torr Used Working 1
NESLAB EX 17 Thermo Fisher 277003200100 Recirculating Bath Used Tested Working 1
EX 17 Thermo NESLAB 277003200000 Recirculating Bath Used Tested Working 1
Agilent Technologies EXSH01001UNIV Vacuum Scroll Pump SH-100 Varian New Surplus 1
ATH 500M Adixen Vacuum V2132100 Turbomolecular Pump Pfeiffer Bearing Fault As-Is 1
Horiba Inspection Lens Sensor Assembly PMP-01 Olympus OM-System PD-201A Used 3
Horiba Inspection Sensor Assembly PMP-01 47u/35V(x2) PD-201A Used Working 1
Kyowa Optical TES-2 Techniscope with Hitachi KP-120 Camera Horiba PD-201A Used 1
Toshiba LGK-7628JH He-Ne 632.8nm Laser with Power Supply LPG-3223 Horiba PD-201A 1
General Scanning MG325D Servo Motor Used Working 2
Horiba Fiber Optic Light Source Assembly with Cables PD-201A Used Working 1
Copal Electronics 8412 Mini Motor Type 103 Reseller Lot of 3 Used Working 1
Copal Electronics 8412 Mini Motor Type 107 Reseller Lot of 2 Used Working 1
iQDP80 Edwards IQ8120204XS Dry Vacuum Pump QMB250 32246 Hrs. Used Tested Working 1
Horiba H488828B Optical Sensor Board PCB GZP-0I PD-201A Used Working 4
Horiba H334535B Interface Board PCB PRT-02 PD-201A Used Working 1
Horiba H340337 LET Indicator Interface Board PCB PSC-01 PD-201A Used Working 1
Horiba H220765 Driver Board PCB DRV-1 PD-201A Used Working 2
Horiba H101325A High Voltage Board PCB HVB-01 Hamamatsu CI903-02S PD-201A Used 1
Horiba H101359C AC/DC Power Board PCB PWR-02 PD-201A Used Working 1
Horiba H101358B AC/DC Power Board PCB PWR-01 PD-201A Used Working 1
Horiba H214277B Signal Range Board PCB Card RAP-01 PD-201A Used Working 2
Horiba H214350B Signal Output Board PCB Card OUT-01 PD-201A Used Working 3
Horiba H214348A Signal Input Board PCB Card IN-01 PD-201A Used Working 1
Horiba H214435B Buffer Board PCB Card BUF-01 PD-201A Used Working 1
Horiba H220087A Controller Board PCB Card CTL-01 PD-201A Used Working 1
ASM Advanced Semiconductor Materials 201026 Processor Board PCB ETMI 201025 Used 1
Danaher Motion T019-0001 SynQnet Interface Device (SQID) PCB 1007-0098 Used 1
ASM Advanced Semiconductor Materials 201017 LED Board PCB ETMI 201016 Used 1
ASM Advanced Semiconductor Materials 201012 Connector Board PCB ETMI 201011 Used 1
Watlow Anafaze 30760-00 Interface Base Board PCB MLS300 AIM TB Used Working 1
Watlow Anafaze 30750-00 Board PCB MLS300 MUX-16 D/C 0045 Used Working 1
Watlow Anafaze 30740-00 MLS300 V/F Board PCB D/C 0016 Used Working 1
MKS Instruments 135280-G3 Precision Controller Board PCB Used Working 1
Hitachi DCM-05A03-E1200 Permanent Magnet Motor Used Working 1
Hitachi DCM-05A02-E 660 Permanent Magnet Motor Reseller Lot of 2 Used Working 1
Lambda Electronics LIS-3I-12 Power Supply PCB Card +12VDC Used Working 1
MKS Instruments 627A.1TAD-----S Baratron Pressure Transducer Tested As-Is 1
Datex DTX-2100S HDD Emulation Card PCB Semifab CELE-200 40GB Drives SKYtech Used 1
SBS Technologies CL7R2Q0B00C14W18 SBC PCB Card CL7 30GB AMAT 0190-12695 Used 1
Inova Computers 11249 UPS Board PCB Card 1-ICP-UPS AMAT 0190-07905 Used Working 1
Inova Computers 11249 UPS Board PCB Card 1-ICP-UPS UPS-27.10.00 Used Working 1
Inova Computers 11249 UPS Board PCB Card 1-ICP-UPS UPS60-27.10.00 Used Working 1
Mesa Power Systems 10651 100 Watt UPC PCB Card AMAT 0190-08875 Used Working 1
AMAT Applied Materials 90K CPU Processor Board PCB AKT Division Used Working 1
Texas Microsystems D486/DX4 CPU Processor Board PCB Card D-DX4/100 Used Working 1
Danaher Motion T021-0001 SynqNet Interface PCB SQIO-ADC4DAC4 1007-0101 Used 2
Revolve Magnetic Bearings 804-0063-001 Interface Board PCB 704-0063-002 SKF Used 1
Crystalfontz HAC0006D Power Supply Board PCB Used Working 1
SBS Technologies MC303-S00026 Carrier PCB Card AMAT 0190-07847 0190-07910 Used 2
DIP 15049105 DeviceNet PCB Card CDN491 AMAT Applied Materials 0190-02506 Used 2
AMAT Applied Materials 0100-09196 E Chuck Controller PCB Card Used Working 1
Comtrol A10061 Interface Connector Board PCB 9916C Used Working 1
Texas Instruments 52045-312 Arc Detector II Board PCB 52045-313 Used Working 1
AMAT Applied Materials 0100-71141 TC Input K-Type Thermocouple Board PCB Used 2
TCM 180 Pfeiffer PM C01 650 A Turbomolecular Controller - TEST 1
AMAT Applied Matrials 0100-71267 8 Channel PCM Card PCB AKT USed Working 1
RF Navigator AE Advanced Energy 3155123-011 RF Match Network Used Working 1
APEX 5513 AE Advanced Energy 0190-30457-001 RF Generator AMAT Tested Working 1
Edwards A53259000XS iQDP Series Gas System Module Series 2 Used Tested Working 1
Edwards D37208000 Vacuum Pump Sensor Module iQDP40 Tested Working Spare 1
Edwards D37208000 Vacuum Pump Sensor Module iQDP40 Tested Working Spare 3
Alcatel 5150 CP Turbomolecular Pump Turbo Used Tested Working 1
BTU Engineering 3161525 Analog I/O Microprocessor VME PCB Card 3161521 Used 1
BTU Engineering 3162154 Logic Processor VME PCB Card Used Working 1
BTU Engineering 3162012 Signal Processor Pyrogenic Oxidation VME PCB Card Used 1
BTU Engineering 3162024 7900 Backplane Board PCB 3162020 Used Working 1
CFF 450 TURBO Alcatel 8220 Turbomolecular Pump Controller Used Tested Working 1
Tylan General FC-2902M Mass Flow Controller MFC 2900 Series 500 SCCM HF Used 1
Tylan General FC-2902M Mass Flow Controller MFC 2900 Series 2 SLPM N2 Used 1
Tylan General FC-2902M Mass Flow Controller MFC 2900 Series 5 SLPM N2 Used 1
Tylan General FC-2902M Mass Flow Controller MFC 2900 Series 5 SLPM H2 Used 1
Tylan General FC-2902M Mass Flow Controller MFC 2900 Series 5 SLPM O2 Used 1
Tylan General FC-2902M Mass Flow Controller MFC 2900 Series 500 SCCM CL2 Used 1
Nor-Cal Products AIVP-1502-NWB Pneumatic Angle In-Line Used Working 1
VAT 01032-KE12-0002 Mini UHV Ultra High Vacuum Gate Valve Used Working 1
Oriental Motor C8741-9212KM Stepping Motor Magnetic Brake VEXTA Used Working 35
Banner SM51RB6 LED Scanner KLA Instruments 750-657649-01 200mm 2132 Used Working 1
Banner SM51RB6 LED Scanner Receiver KLA Instruments 750-657650-01 2132 Used 1
Banner SM51RB6 LED Scanner R/H Emitter KLA Instruments 750-657649-02 2132 Used 1
Banner SM51RB6 LED Scanner R/H Receiver KLA Instruments 750-657650-02 2132 Used 1
Oriel 68867 Illuminator Power Supply KLA Instruments 200mm 2132 Used Working 1
Kensington Laboratories HR90310-06 Z-Axis Board PCB Card 4000-60002 Used Working 1
Kensington Laboratories HR90437-01 Y-Axis Board PCB Card 4000-60002 Used Working 1
Kensington Laboratories HR95649-10 X-Axis Board PCB Card 4000-60002 Used Working 1
Kensington SB96257-07 SBC Single Board Computer PCB Card 4000-60010 Used Working 1
Kensington Laboratories 77-4000-6043-00 5-Axis Motherboard PCB Card Used Working 1
KLA Instruments 760-661136-00 Insulated Vertical Illuminator 2132 No Cover Used 1
Leybold MAG.DRIVE 3000 Digital Turbo Pump Controller 1
Sorenson Power Supply 1
Electronic Measurements TCR Power Supply 1
Alcatel A100L IPUP Dry Vacuum Pump 1
Polycold PCC Compact Cooler 1
Oerlikon Leybold MAG.DRIVE Frequency Converter Lot of 2 1
Oerlikon Leybold MAG.DRIVE Frequency Converter Lot of 2 1
Oerlikon Leybold MAG.DRIVE Frequency Converter 1
Oerlikon Leybold SL300 Turbomolecular Pump Turbovac 1
Oerlikon Leybold SL300 Turbomolecular Pump Turbovac 1
Oerlikon Leybold MAG W 600 Turbomolecular Pump Turbovac 1
Oerlikon Leybold MAG W 600 Turbomolecular Pump Turbovac 1
Oerlikon Leybold MAG W 600 Turbomolecular Pump Turbovac 1
Lambda Electronics UBK 13GZ-2113 600 Watt Power Supply UltraFlex Series Used 1
Bay Pneumatic BES4326 5-Port Manifold KLA Instruments 740-654246-00 2132 Used 1
Oriental Motor PH266-01GK Stepping Motor KLA Instruments 740-651222-00 2132 Used 1
Sony XC-711RR CCD Vision Camera Module RGB KLA Instruments 2132 Used Working 1
Copley Controls 526 Servo Amplifier KLA Instruments 2132 Used Working 1
KLA Instruments 710-658268-20 Y AMP Filter Board PCB 073-658267-00 2132 Used 1
Leica 567052 Microscope Objective PL Fluotar 32x/0.75 ∞/0 KLA 2132 Used Working 1
Leica 567056 Microscope Objective PL APO 50x/0.90 ∞/0 Used Working 1
MKS Instruments 0154A-200RK High Flow Control Valve 154A Used Working 1
Schumacher 1471-0201 Bubbler Alarm Controller LSU-II 1730-0040 Used Working 1
Watlow 988A-22FA-NRRG Microprocessor-​Based Temperature Process Controller Used 1
Xenon RC-500B Pulsed UV Curing System Controller Used Working 1
Motorola 01-W3866B04D SBC Single Board Computer VME PCB Card MVME 162-263 Used 1
VMIC 332-002536-000 B 5V Optically Coupled Digital I/O PCB Card VMIVME 2536 Used 1
VMIC 332-002536-200 B 24V Optically Coupled Digital I/O PCB Card VMIVME 2536 1
TreNew VME-Monolithic Bus 6-Slot Backplane PCB Electronic Solutions 01-0032006 1
Weidmuller 117816 PLC Interface Unit RS-C64 B/S Reseller Lot of 5 Used Working 1
JEOL AP002131-03 CRT Display Board PCB Card CRT DSPL(1) PB JSM-6400F Used 1
JEOL AP002133-02 CRT Display Board PCB Card CRT DSPL(2) PB JSM-6400F Used 1
JEOL AP002127(01) Processor Board PCB Card FIS(2)PB JSM-6400F Used Working 1
JEOL AP002129(01) Processor Board PCB Card MPU PB JSM-6400F Used Working 1
JEOL AP002126(01) Processor Board PCB Card FIS(1)PB JSM-6400F Used Working 1
JEOL AP002100(00) Backplane PCB KI Assembly LENS MPB JSM-6400F Used Working 1
JEOL AP002134-02 CRT Display Board PCB Assembly CRT DSPL(2)MPB JSM-6400F Used 1
JEOL AP002132-02 CRT Display Board PCB Assembly CRT DSPL(1)MPB JSM-6400F Used 1
IDE Integrated Dynamics Engineering 400133-02 EMI Compensation System MK4 Used 1
JEOL OPN Control Panel Assembly PCB AP002136(00) OPN PANEL(2)PB JSM-6400F Used 1
JEOL ERS Unit Electron Spin Resonance PCB Card AP001174-00 JSM-6400F SEM Used 1
JEOL SM-40080 Unit Scan Rotation Card PCB AP000393 SR-02C JSM-6400F SEM Used 1
JEOL AP002128(01) Processor Board PCB Card FIS(3)PB JSM-6400F Used Working 1
JEOL AP002114(01) Processor Board PCB Card SCAN GEN(1)PB TN JSM-6400F Used 1
JEOL AP002108(00) Processor Board PCB Card VIDEO CONT(1)PB TN JSM-6400F Used 1
JEOL AP002116-00 Processor Board PCB Card MAGCONTROL TN JSM-6400F Used Working 1
JEOL AP002379-00 Processor Board PCB Card AFC PB TN JSM-6400F Used Working 1
JEOL AP002113(01) Processor Board PCB Card SCAN I/O PB TN JSM-6400F Used Working 1
JEOL AP002106(01) Processor Board PCB Card INTER FACE(1)PB JSM-6400F Used 1
JEOL AP002115-01 Processor Board PCB Card SCAN GEN(2)PB TN JSM-6400F Used 1
JEOL AP002359(00) Processor Board PCB Card CONT(3)PB KI JSM-6400F Used Working 1
JEOL AP002269(00) Processor Board PCB Card LENS I/O(1)PB KI JSM-6400F Used 1
JEOL AP002268(00) Processor Board PCB Card LENS CONT(1)PB KI JSM-6400F Used 1
JEOL AP002270(00) Processor Board PCB Card EXT I/O(FE)PB JSM-6400F Used Working 1
JEOL AP002119(01) Processor Board PCB Card HT I/O PB JSM-6400F Used Working 1
JEOL AP002210(00) Processor Board PCB Card FE CANSEL PB TN JSM-6400F Used 1
JEOL AP002109(00) Processor Board PCB Card SELECT PB TN JSM-6400F Used Working 1
JEOL AP002380(00) Processor Board PCB Card VIDEO CONT(3)PB TN JSM-6400F Used 1
JEOL AP002103(00) Processor Board PCB Card LENS I/O(2)PB KI JSM-6400F Used 1
JEOL AP002104(00) Processor Board PCB Card LENS CONT(2)PB KI JSM-6400F Used 1
JEOL AP001116-01 Processor Board PCB Card VIDEO CONT(2)PB TN JSM-6400F Used 1
JEOL AP002125(00) Backplane Board PCB FIS MPB JSM-6400F SEM Used Working 1
JEOL AP002118(00) Backplane Board PCB HT I/O MPB JSM-6400F SEM Used Working 1
JEOL AP002105(00) Backplane Board PCB INTERFACE MPB JSM-6400F SEM Used Working 1
JEOL AP002139(00) PCB Card Slot Extender Board EXTENSION(3)PB JSM-6400F Used 1
KLA Instruments 740-657758-00 200mm Wafer Stage Assembly 2132 Incomplete As-Is 1
JEOL AP002107(00) Backplane PCB TN Assembly IMAGE CONT MPB JSM-6400F Used 1
JEOL AP002112(00) Backplane PCB TN Assembly SCAN/MAG MPB JSM-6400F Used 1
JEOL SM-45150 CSI UHR Microscope Camera Polaroid 545i Film Holder JSM-6400F Used 1
JEOL TN High Voltage Power Supply Assembly JSM-6400F SEM Used Working 1
JEOL SM-45020 Cathode Ray Tube Toshiba E2665PFA JSM-6400F SEM Used Working 1
Daihen CMC-10A Tuning Control Unit Box Used Working 1
JEOL AP002179 Microscope Control Panel and Joystick Set of 2 JSM-6400F SEM Used 1
JEOL PS RGLTR CCT 1 Temperature Controlled PCB Card JSM-6400F SEM Used Working 1
JEOL PS RGLTR CCT 2 Temperature Controlled PCB Card JSM-6400F SEM Used Working 1
JEOL CRT PA1 Temperature Controlled PCB Card JSM-6400F SEM Used Working 1
JEOL MAG PWRAMP Temperature Controlled PCB Card JSM-6400F SEM Used Working 1
Dell OptiPlex GX200 Desktop Computer System MMP JEOL JSM-6400F SEM No Boot As-Is 1
JEOL High Tension Supply JSM-6400F SEM Scanning Electron Microscope Used Working 1
Nikon 2S700-530 Pulse Motor Control PCB Board 2S003-016 OPTISTATION 3 Used 1
Nikon 2S701-421 Pulse Motor Control PCB Board 2S007-237 OPTISTATION 3 Used 1
Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-5 v2.31 OPTISTATION 3 Used 1
Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-5 v2.31 OPTISTATION 3 Damaged 1
Nikon 2S700-580 SCPU Board PCB Card 2S014-033-3 v16.01 OPTISTATION 3 Used 1
Nikon 2S700-651-1 NCPA Board PCB Card 2S015-081-1 v1.50 OPTISTATION 3 Used 1
Nikon 2S700-583-1 CCCNT Board PCB Card 2S014-036-4 v2.23 OPTISTATION 3 Used 1
Nikon 2S701-009 NTP-NMC-T Board PCB Card 2S020-061 v1.07 OPTISTATION 3 Used 1
Nikon 2S701-009 NTP-NMC-T Board PCB Card 2S020-061-1 OPTISTATION 3 No EPROM Used 1
Nikon 2S700-579-1 Interface Board PCB 2S013-072-3 OPTISTATION 3 Used Working 1
Nikon 200mm Wafer Indexer Lift Assembly OPTISTATION 3 Inspection System Used 2
Nikon 200mm Linear Rail Wafer Transport Assembly 1 OPTISTATION 3 Used Working 1
Nikon 200mm Linear Rail Wafer Transport Assembly 2 OPTISTATION 3 Used Working 1
Nikon 200mm Wafer Prealigner Assembly OPTISTATION 3 Incomplete No PCB Used 1
Nikon 2S013-076 200mm Wafer Prealigner PCB 2S700-600 OPTISTATION 3 Used Working 1
Nikon Binocular Eyepiece Microscope Assembly CFWN D10x/20 OPTISTATION 3 Used 1
Nikon Microscope Eyepiece Prism Lens Optical Assembly OPTISTATION 3 Used Working 1
Nikon 200mm Wafer Microscope Inspection Stage 2S700-534 OPTISTATION 3 Used 1
Nikon Microscope Optical Sensor Assembly 2S700-320 OPTISTATION 3 Used Working 1
Nikon Microscope Turret Assembly with Objectives 2S700-409 OPTISTATION 3 Used 1
Nikon Microscope Turret Assembly 2S700-409 OPTISTATION 3 Used Working 1
Nikon Microscope Fiber Optic Cable Assembly OPTISTATION 3 Used Working 2
Nikon 200mm Wafer Inspection Transport OPTISTATION 3 SST55D3C020 Used Working 1
Nikon Microscope Optical Sensor Assembly 2S701-012 OPTISTATION 3 Used Working 1
Sony PVM-14M2MDU Microscope Video Monitor Trinitron Nikon OPTISTATION 3 Used 1
Sony DXC-151A Microscope Inspection Camera CCD-IRIS/RGB Nikon OPTISTATION 3 Used 1
MRC Materials Research Gear Motor Assembly Oriental B&B Motor Eclipse Star Used 4
Edwards D39562000 Active Vacuum Gauge Display AGD AIM (& RELAY) Used Working 1
Edwards D37207000 Electrics Module iQDP Tested Not Working No Response As-Is 1
Edwards D37208202 Flash Module PCB iQDP Series 801-1047-01 Used Working 1
DRYSTAR Edwards QDP40 Dry Pump Tested Not Working Seized Motor Copper Cu As-Is 1
iQDP40 Edwards A532-40-905 Dry Vacuum Pump -4856 Hours Used Tested Working 1
Ebara PWM-8m Turbomolecular Vacuum Pump Cable 10m 26 Foot Turbo Used Working 1
Brooks Automation 002-9401-01 Robot Controller Series 8 Used Working 1
Brooks Automation 017-0266-01 Wafer Handling Robot Reliance 017-0950-01 As-Is 1
Autonics TZ4ST Loadlock Chamber Heater Temperature Controller Used Working 3
Shimadzu TMP-V2304LM (PB 21) Turbomolecular Pump EI-V04M Turbo Tested Working 1
Shimadzu TMP-V2304LM (PB 21) Turbomolecular Pump EI-V04M Turbo Tested Working 1
Shimadzu TMP-V2304LM (PB 21) Turbomolecular Pump EI-V04M Turbo Tested Working 1
TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump Turbo Tested Working 1
TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump Turbo Tested Working 1
TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump Turbo Tested Working 1
TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump Turbo Tested Working 1
TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump Turbo Tested Working 1
TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump Turbo New Surplus 1
TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump Turbo New Surplus 1
TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump Turbo New Surplus 1
TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump Turbo New Surplus 1
Seiko Seiki RN10880-01 4/4 TMP Turbomolecular Pump Signal Cable 32 Foot 10M Used 1
Seiko Seiki LJ07002-11-11 TMP Turbomolecular Pump Signal Cable 26 Foot 8M Used 1
Seiko Seiki RK21092-01-4 TMP Turbomolecular Pump Signal Cable 26 Foot 8M Used 1
Seiko Seiki RK21092-01-1 TMP Turbomolecular Pump Signal Cable 26 Foot 8M Used 1
Seiko Seiki RK21092-01-3 TMP Turbomolecular Pump Signal Cable 26 Foot 8M Used 1
Seiko Seiki RK21092-02-1 TMP Dual Turbomolecular Pump Cable 26' 8M Turbo Used 1
Seiko Seiki SD-8m TMP Turbomolecular Pump Cable 26 Foot 8M Turbo Used Working 1
Synrad D48-Z-115SW 40w CO2 Laser Writer w/Stylus Lumonics LW-CO2 Untested As-Is 1
Star Gate Tech 742244-02 Communications PCB Card 500144-03 Lumonics LW-CO2 Used 1
Laser Identification Systems 6050029 PC Communicator PCB Lumonics LW-CO2 Used 1
Opto 22 AC5 I/O Module Controller ISA Interface PCB Card Lumonics LW-CO2 Used 1
Interaction Systems ISI 4004 Processor PCB Card Lumonics LW-CO2 Used Working 1
Cabletron Systems 9000342-04 Processor PCB Card Lumonics LW-CO2 Used Working 1
Compumotor S57-102-MO Stepping Motor Parker Used Working 1
Microscan FIS-0610-0002 Barcode Scanner MS-610 Used Working 1
Condor FNBB-119W Open Frame Power Supply S-I Used Working 1
Teknic M-5075-829 Servo Motor 8000 Quad SST 2100839 Delta Design Summit ATC Used 2
JEOL AP001129-01 Vacuum System Operator Panel PCB AP002013-01 JSM-6400F Used 1
Edwards A53259000XS iQDP Series Gas System Module Missing Knob Tested Working 1
Teknic M-2348-103 Servo Motor 4000 Quad SST 2100844 Delta Design Summit ATC Used 1
Teknic M-2330-109 Servo Motor 4000 Quad SST Delta Design 2100868 Summit ATC Used 1
Delta Design 1909151-001 Servo Motor 1670577-604H with Encoder Summit ATC Used 2
Warner Electric M063-LE-507E Stepping Motor SLO-SYN Delta 1905710-001 ATC Used 9
Cohu Electronics 8762-1 CCD Camera Delta Design 1924999-001 ATC Used Working 1
Cohu Electronics ER-8106A CCD Camera Delta Design 1924999-001 ATC Used Working 1
SMC ITV2030-31N2N4 Electro-Pneumatic E/P Regulator ITV2000 Series Used Working 2
AMAT Applied Materials 0010-01929 RF Match H.E. BESC MATCH PVD Untested As-Is 5
AMAT Applied Materials 0010-30687 High Efficiency RF Auto Match Assembly Used 1
AMAT Applied Materials 0010-01929 RF Match H.E. BESC MATCH PVD Assembly Used 2
AMAT Applied Materials 0190-19764 Flexible Fiber Optic Spectrograph Line Used 1
MDX-052 AE Advanced Energy 3152052-016 B DC Magnetron Remote Interface Used 2
Varian E62111440 E62111450 Dose Controller Chips PCB Set of 2 Used Working 1
Vicor VI-RURNN-EYWW Autoranging AC-DC Switcher Power Supply FlatPAC Used Working 1
Vicor VI-LU3-CV Autoranging AC-DC Switcher Power Supply FlatPAC Used Working 1
Animatics SM2310SQ Servo Motor with Gearhead 64-116834-9696 ADE 031304-02 Used 1
ADE Corporation 020040-92 RES Gage Utility Board PCB Card 020041-01 Used Working 1
ADE Corporation 031131-01 Wafer Notch Finder Board PCB 031130-01 Used Working 1
ADE Corporation 031154-01 Supinating Arm Board PCB 031153-01 Used Working 1
ADE Corporation 025964-01 GPIB Terminator Board PCB 025963-01 Used Working 1
Kensington 8500-6X8 (+) X Y Translation Wafer Stage Therma-Wave 18-006566 Used 1
Edwards iH100 Vacuum P{ump A533-52-945 iH 1000 used working 1
RFX 600A AE Advanced Energy 3155082-331 C RF Generator Used Tested Working 2
RFX 600A AE Advanced Energy 3155082-331A RF Generator Used Tested Working 1
AMAT Applied Materials 0100-91072 Source Magnet Control PCB Card 0120-93578 Used 1
AMAT Applied Materials 0100-91025 Vendor Interface A MAG PCB Card Used Working 1
AMAT Applied Materials 0100-90302 DAQ MICRO PCB Card 0120-92751 Used Working 1
AMAT Applied Materials 0100-90480 DAQ EXPANSION PCB Card 0120-92848 Used Working 1
AMAT Applied Materials 0100-90941 H.V/A.MAG Motherboard Issue B PCB 0100-90015 1
AMAT Applied Materials 0100-90851 H.V/A.MAG Motherboard Issue E PCB 0100-90015 1
AMAT Applied Materials 0100-90875 Suppression Logic Board PCB Issue B Used 1
AMAT Applied Materials 0190-16171 Drive Assembly Hard Disk w/Floppy CD-Rom Used 1
SVG Silicon Valley Group 80166F3-01 PVB Station Processor CPU PCB Card Used 2
NSK W250WF-22PSI-C5Z16 Robot Leadscrew Ball Screw 1001-0092 New Surplus 9
Ebara LE306 Cold Trap Turbomolecular Assembly 0760-470000 Ulvac Untested As-Is 1
Micron Technology 2829002 Quartz Pedestal Rev. B3 Saint-Gobain Refurbished 1
HX-2010 SMC INR-498-016C Thermo Chiller HX Over Temp Fault Tested Not Working 1
DNS Dainippon Screen HLS-MC2 Relay Board PCB PC-97013B Used Working 1
DNS Dainippon Screen HLS-MC3 Bridge Board PCB Card PC-97014 Used Working 2
DNS Dainippon Screen HLS-MC1A Network Control Board PCB PC-97040A Used Working 1
Bruce Systems 9011086 6 Channel Overtemp Module PCB Model 7630 Used Working 1
AMAT Applied Materials 0190-41422 Assembly High Impendence Junction Box New 1
Edwards iH1000 Vacuum Pump A533-52-945 Used Working 1
Asyst Technologies 9700-9129-01 300mm Wafer Load Port IsoPort New 1
MKS Spectrum 3013-08 RF Generator B-3013 Used Working 1
Seiko Seiki SCU-P027PRT3 STP Turbomolecular Pump Control Unit Tested Working 1
Leybold  400035V0011 MAG Drive Digital Frequency Controller New 1
Leybold 400036V0002 Drive/Bearing Pump Cable New 1
Leybold 20300032487 MAG W 2010 C Turbomolecular Pump Unlisted 1
Leybold NT 20 Turbotronik Pump Controller Tested Working Unlisted 2
Seiko Seiki SCU-A2503PV STP Turbomolecular Pump Control Unit Turbo No Power 1
Asyst Technologies 3200-1071-01 Load Port PCB MICRO-G 6018-1002-10B FL 300 I/O 5
Heidenhain 368172-01 Sealed Linear Encoder Adapter Cable Reseller Lot of 3 New 1
iQDP80/QMB500 Dry Vacuum Pump w/ System Frame - TEST 1
iQDP80/QMB500 Dry Vacuum Pump - TEST 1
PRI Automation ABM-407B-1-S-CE Wafer Handling Robot with End Effector Working 1
PRI Automation PRE-300-CE 300mm Wafer Prealigner Working Spare 1
Asyst Technologies 9700 300mm Load Port SMIF-300FL 3200-1065-04 Working Spare 1
Axiom SSC-486VGA 486DX ISA BIOS SBC Single Board Computer PCB Card Working Spare 1
Robotrol 2020301 ADA88 Analog I/O PCB Card Plasma-Therm Clusterlock 7000 Spare 9
Robotrol 2020301 ADA88 Analog I/O PCB Card Plasma-Therm Clusterlock 7000 Spare 2
Plasma-Therm 77026 96 Channel Digital I/O PCB Card Clusterlock 7000 Spare 14
3Com 8772-10 EtherLink II/16 Adapter Board PCB Card Clusterlock 7000 Spare 2
Plasma-Therm 4178950501 Interconnect Interface Board PCB Clusterlock 7000 Spare 3
Plasma-Therm 80568 Signal Relay Board PCB Lot of 3 Clusterlock 7000 Spare 1
Plasma-Therm 98209140 ISA 14 Slot Backplane Board PCB Clusterlock 7000 Spare 4
Plasma-Therm 4180809501 Pneumatic Manifold Board PCB Clusterlock 7000 Spare 15
Electroglas 263726-001 Joystick-Lightpole Controller Board PCB 263352-001 Spare 1
Electroglas 262411-001 Load Port Controller Board PCB 262410-001 Working Spare 1
Comtrol 94100-2 16-Port RS-232 Interface Module RocketPort Working Spare 1
iQDP Edwards iQDP80 iQ Dry Pumping System Vacuum Pump No Skins Tested Working 2
Plasma-Therm 4281540501 PWN-to-Analog Converter Board PCB Clusterlock 7000 Spare 3
Plasma-Therm 4480159501 THNTD PCB Board Clusterlock 7000 Reseller Lot of 2 Spare 1
Plasma-Therm 3400 TURBO COMM+4 Board PCB Card Clusterlock 7000 Working Spare 2
Plasma-Therm 81539 Cassette Module Interlock Board PCB Clusterlock 7000 Spare 2
Axiom SSC-486VGA 486DX ISA BIOS SBC Single Board Computer PCB Card No Processor 1
PRI Automation ATM Robot Cable Set of 2 2002-0011-07PCE 2002-0012-07SCE Working 1
PRI PRE Prealigner Cable Set 2002-0011-07PCE 2002-0012-07SCE 2002-0031-07SCE 1
VAT 641PM-16PL-1002 Adaptive Pressure Controller PM-5 64PM.3C.00 Working Spare 1
VAT 641PM-16PL-0002 Adaptive Pressure Controller PM-5 64PM.3C.00 Working Spare 1
MKS Instruments 919 Hot Cathode Ionization High Vacuum HPS Working Spare 1
SC Technology DES-310 Delta Optical Head Endpoint Detection Working Spare 2
SC Technology 2-Channel Intensity Gauge Plasma-Therm Clusterlock 7000 Spare 3
RFPP RF Power Products 80000.82169.010 RF Matching Network Clusterlock 7000 Used 1
RFPP RF Power Products 8621104010 RF Matching Network Clusterlock 7000 Used 1
Brooks Automation 001-1984 Robot Teach Pendant Handheld Controller Untested 1
Plasma-Therm T534 Robot Teach Pendant Handheld Controller QTERM-II Working Spare 2
Plasma-Therm T534 Robot Teach Pendant Handheld Controller QTERM-II Working Spare 1
Princeton Instruments IRY-1024S/RB Diode Array Detector/Camera Clusterlock 7000 1
Jobin Yvon 23049140 A Laser Camera Plasma-Therm Clusterlock 7000 Working Spare 1
Sofie Instruments DGCAM-CC-655nm Laser Camera Plasma-Therm Clusterlock 7000 Used 1
MKS Instruments 625A12TBE Baratron Pressure Transducer Type 625 Working Spare 2
Plasma-Therm Optical Endpoint Detector Clusterlock 7000 Etching System Spare 5
MKS Instruments 103250012 Pirani Vacuum Transducer 325 MODUCELL HPS Spare 1
MKS Instruments 103250010 Pirani Vacuum Transducer 325 MODUCELL HPS Spare 1
RF30S RFPP RF Power Products 7520758020 RF Generator Tested Working Spare 1
RF20S RFPP RF Power Products 7520689071 RF Generator Tested Working Spare 1
Axiom ASC486 486DX ISA SBC Single Board Computer PCB Card Plasma-Therm Spare 1
RFPP RF Power Products 7622429010 RF Matching Network Clusterlock 7000 Used 1
Balzers BGD28500 Quadrupole Mass Spectrometer Prisma QME 200 Plasma-Therm Used 1
Balzers BKM25250 Mass Spectrometer Cathode Sensor QMA 200 Plasma-Therm Used 1
MKS Instruments 625A13TBE Baratron Pressure Transducer Type 625 Working Spare 2
MKS Instruments 1160B-00500RV Mass Flow Controller MFC 500 SCCM N2 Working Spare 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 100 SCCM O2 Working Spare 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM Cl2 Working Spare 1
CTI-Cryogenics 8116030G001 On-Board 8F Cryopump Refurbished Unlisted 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM CHF3 Working Spare 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM BCl3 Working Spare 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM HI Working Spare 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM CF4 Working Spare 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM Ar Working Spare 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM HCl Working Spare 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM N2 Working Spare 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM O2 Working Spare 1
305W Ebara REM01 PWM 20M Turbomolecular Pump Controller Turbo Tested As-Is 1
Ebara LE Series Cold Trap Turbomolecular Pump Assembly 0760-471000 Genesis Used 2
Ebara LE Series Cold Trap Turbomolecular Pump Assembly 0760-470000 Varian Used 1
Ebara LE-559 Cold Trap Turbomolecular Pump Assembly HT-018-MCPQ Varian Used 1
Ebara LE-289 Cold Trap Turbomolecular Pump Assembly HT-018-MCP1 Varian Used 1
Ebara LE-336 Cold Trap Turbomolecular Pump Assembly HT-018-MSP0 Varian Used 1
ET Ebara ET300P Turbomolecular Vacuum Pump Turbo ME92-4501-0 Used Tested Working 1
ATH 500M Adixen Vacuum Products V13121B1 Turbomolecular Pump Used Working 1
SEIKO SEIKI STP-H1301L1 TURBOMOLECULAR PUMP TURBO FOR REBUILD BINDING ROTOR 1
Leybold  400110V0021 MAG W 1300 C Turbomolecular Pump New 1
Edwards STP-F2203C Turbo (Refurbished) 1
Leybold UL200 Helium Leak Detector 14002 Tested Working Unlisted 1
Edwards EPX 180L Dry Vacuum Pump A419--41-152 Tested Working 1
Seiko Seiki SCU-A2503P STP Turbomolecular Pump Control Unit Tested Working 1
Seiko Seiki SCU-H301C Turbo Pump Control Unit - TEST 1
Edwards STP-F2203C Turbo Pump (Refurbished) - TEST 1
Alcatel ACT 1000M Turbo Pump Controller - TEST 1
ASTRON ASTeX Applied Science AX7657 Remote Plasma Source FI20661 Tested Working 1
ET Ebara ET300P B Turbomolecular Vacuum Pump Turbo Error TRP-C Not Working As-Is 1
ET Ebara ET300-P Turbomolecular Vacuum Pump Turbo Error TRP-C Not Working As-Is 1
ET Ebara ET300P Turbomolecular Vacuum Pump Turbo Error TRP-C Not Working As-Is 1
ET Ebara ET300P Turbomolecular Vacuum Pump Turbo TRP-1/VIB Not Working As-Is 1
TPH 520M Pfeiffer Vacuum PM P01 697 Turbomolecular Pump Turbo Used Working 2
SD-40 Varian P 1111 301 Rotary Vane Vacuum Pump Used Tested Not Working As-Is 2
MKS Instruments B-5002-02 RF Generator - TEST 1
ATS Advanced Thermal Sciences MP40B-GL Chiler 1
ATS Advanced Thermal Sciences NX20A-GL Chiller - TEST 1
ATS Advanced Thermal Sciences NX20A-GL Chiller - TEST 1
SMC INR-498-016C Chiller 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM SF6 Working Spare 1
Perkin Elmer SFC 2010 Mass Flow Controller MFC DNA 200 SCCM Cl2 Working Spare 1
Perkin Elmer SFC 2010 Mass Flow Controller MFC DNA 200 SCCM SF6 Working Spare 1
Sofie Instruments DGLEM-CC Laser Camera Controller Plasma-Therm Clusterlock 7000 1
Watlow 988A-22FA-NRRG Temperature Process Controller Reseller Lot of 3 Spares 1
MKS Instruments 919 Hot Cathode Controller Ionization High Vacuum HPS Spare 1
Hine Design Transfer Robot Plasma-Therm Clusterlock 7000 Etching System Spare 1
Equipe Technologies FPD-3153 Transfer Robot Plasma-Therm Clusterlock 7000 Spare 1
Comtrol A10056 PCI 8-Port Serial Board 94800-1 RocketPort A00056 PCB Card Spare 1
Acumen 10011PCB Vision Plug-In Board PCB Card Plasma-Therm 2010-0389-4605 Spare 1
Trident JAX8232B10048973 ISA Video Card PCB Card JAX-8232B/V1 Clusterlock 7000 1
Acumen 10010 Image Acquisition Card PCB Card Plasma-Therm 2016-0305-4405 Spare 1
Equipe Technologies Robot Cable Set of 2 2-02-011-07 2-02-012-07 ESC-200 Spare 1
Plasma-Therm 4377027401 96 Channel Digital I/O Board PCB Card Clusterlock 7000 1
Nova Research 10372 PCB Card Analog Digital Serial I/O ADS168 Working Spare 1
Plasma-Therm 9011 ISA 14 Slot Backplane Board PCB 11911411 Clusterlock Spare 2
Plasma-Therm 20 Slot PC Backplane Board PCB Clusterlock 7000 RIE Working Spare 1
Axiom SSC-486VGA 486DX ISA BIOS SBC Single Board Computer PCB Card Ver. C Spare 1
Logosol 9344 Genmark Robot Input Interface 9411 MC-11-2050 PCB Card Spare 2
Logosol 9344 Genmark Robot Input Interface 9411 MC-11-2050 PCB Card Bend Pins 1
Micro Alliance 714 System Control Computer Plasma-Therm Clusterlock 7000 Spare 1
Micro Alliance 714 System Control Computer 03-0021-101 Plasma-Therm Clusterlock 1
Plasma-Therm PM#4: 486 Drive Assembly 1.2GB Hard Disk w/Floppy Clusterlock 7000 1
Plasma-Therm PM#4: 486 Drive Assembly 256MB Hard Disk w/Floppy Clusterlock 7000 1
Plasma-Therm 4480159501 THNTD PCB Board PCB Reseller Lot of 2 Clusterlock 7000 1
3Com 03-0021-100 EtherLink III Adapter Board PCB Card Clusterlock 7000 Spare 2
Adaptec AHA-1540CF ISA SCSI Interface Therma-Wave Clusterlock 7000 Spare 1
Therma-Wave Distribution Power Box Robot Aligner Clusterlock 7000 Working Spare 1
AMAT Applied Materials 0010-12088 Rev. 008 Hybrid Etch RF Match Used  Unlisted 1
AMAT Applied Materials 0010-20524 Preclean II RF Match As-Is Unlisted 1
AMAT Applied Materials 0010-09416W RF Match Assembly Used Unlisted 1
AMAT Applied Materials 0010-36162W RF Match Assembly Used Unlisted 1
AMAT Applied Materials 0010-02977 Sub Zero Besc PVD RF Match Used Unlisted 6
AMAT Applied Materials 0010-21748 300mm Preclean/RPC PVD RF Match Used Unlisted 2
AMAT Applied Materials 0100-09009 Buffer I/O PCB Board Used Working Unlisted 3
MKS Instruments AS01391-21 Digital I/O PCB Card CDN391R Used Working Unlisted 1
AMAT Applied Materials 0100-00156 Rev. B Isolation Amplifier Used Working Unlisted 1
Nemic-Lambda JWS300-24 Power Supply Used Working Unlisted 2
XP Power 101-261-01 DC Power Supply 0015-02149 Refurbished Unlisted 1
AMAT Applied Materials 0010-15669 200mm Ceramic ESC New Unlisted 1
KoMiCo HI M10 SLD Enabler New Unlisted 2
AMAT Applied Materials 0010-30690 8" Heater Assembly SR AXZ Refurbished Unlisted 1
AMAT Applied Materials 0020-02157 Guard Cross Rotation Coupling 300mm New Unlisted 1
AMAT Applied Materials 0020-01425 Isolation Pumping Ring CVD Low K 300mm New Unlisted 1
Cryco 100110 Boatloader Controller Board PCB CRYCO III Rev. C Working Surplus 3
Cryco 100110 Boatloader Controller Board PCB CRYCO III 100156 Rev. B Surplus 1
Bruce Technologies 73510-9760158 Process Control Unit 7351C 3181181 Spare 1
Bruce Technologies 7351C-9760158 Process Control Unit 7351C 3181181 Spare 1
VAT 0200X-CA44-1001 Pneumatic Slit Valve Plasma-Therm Clusterlock 7000 Spare 1
VAT 64244-PE52-0101 HV High Vacuum Gate Valve Plasma-Therm Clusterlock Spare 3
Advanced Crystal Sciences 500 Gas Flow Monitor Controller Working Spare 1
Progressive System Technologies 1001370 Smart WIP Rack Nest Controller PCB Spare 1
Parker Compumotor OEM Series Indexer Lot of 3 OEM650X-RC CP*OEM650XRC1015 Spare 1
Westinghouse JD3250F Circuit Breaker JD 25k Trip Unit JT3250T Working Spare 1
Telemecanique LC1F265 Contactor LX9 FH 1272 LA1 DN 20 Working Spare 1
American Precision Industries E1000-375 Motor 9429 with Optical Encoder Working 2
American Precision Industries E1000-375 Motor 9429 with Optical Encoder Chipped 1
American Precision Industries M343-11 Servo Motor Working Spare 1
Oriental Motor PK264-02A Stepping Motor Bayside NR23S-015 Reseller Lot of 2 Used 1
Oriental Motor PK264-02A Stepping Motor Bayside NE23-015 Reseller Lot of 2 Used 1
iQDP40 Edwards A400-91-905 Dry Vacuum Pump A532-40-905 -1 Hrs Tested Working 1
Varian 971-1016 M2-014 Vacuum Pump Automatic Valve Control Meter Working Spare 1
Princeton ST-116 Optical Emission System Lucas Plasma-Therm Clusterlock Spare 1
Dell OptiPlex GL 5100 Desktop System Computer Plasma-Therm Clusterlock As-Is 1
VAT 0200X-CA44 Pneumatic Slit Valve Unmarked Plasma-Therm Clusterlock Spare 2
Reliance 0728-39-003-007 Motor E728 Electro-Craft Plasma-Therm 43800-82745-010 3
Reliance 0243-03-011 Servo Motor E243 Electro-Craft Plasma-Therm Clusterlock 1
Reliance 0288-32-003-007 Servo Motor E288 Electro-Craft Plasma-Therm Clusterlock 1
Reliance 9077-0648 Servo Amplifier DC-45L Electro-Craft Plasma-Therm Clusterlock 1
API Controls Division P261X Power/Drive Indexer Plasma-Therm Clusterlock Spare 1
Plasma-Therm ISO100 Flexible Vacuum Bellows 6" Edwards MKS Clusterlock Spare 2
Plasma-Therm Conical Vacuum Reducer Tee ISO160 to ISO100 NW50 NW16 Clusterlock 1
Plasma-Therm ISO250 High Vacuum Flange Blank LF250 Clusterlock 7000 Spare 1
IMS Intelligent Motion Systems IP406 Unregulated Power Supply Lot of 2 Spare 1
MKS Instruments 103450010 Pirani Gauge Series 345 HPS Vacuum Working Spare 1
Harmonic Drive Systems RH-8-6006-E100AL Hi-t Drive Servo Actuator Untested As-Is 1
Plasma-Therm 79578 Status Interlock Board PCB Card Clusterlock 7000 Working 1
Keyence FS-L71 Photoelectric Sensor Amplifier Assembly Plasma-Therm Working 2
Lam Research 853-540066-009-C-1273 End-Point Detector FPD Continuum Spare 1
Lam Research 810-707056-002 TM Node 3 4 PCB Panel 810-707150-001 Continuum Spare 1
Lam Research 810-707056-003 TM Node 3 4 PCB Panel 810-707150-001 Continuum Spare 1
Lam Research 810-707059-001 PM Node 4 PCB Panel 810-707150-001 Continuum Spare 3
Lam Research 810-707061-003 PM Node 2 PCB Panel 810-707150-001 Continuum Spare 2
Lam Research 810-707019-001 System Interlock Board Panel PCB FPD Continuum Spare 3
Lam Research 810-707060-001 PM Node 3 PCB Panel 810-707150-001 Continuum Spare 3
Lam Research 810-707061-001 PM Node 2 Board PCB FPD Continuum Working Spare 1
Lam Research 810-707103-001 Power Convertible Board PCB Continuum Working Spare 1
Lam Research 810-707150-001 Neuron C Module 50020-10 PCB FPD Continuum Spare 1
Cutler-Hammer LD3600F Industrial Circuit Breaker LD 35k Lam Continuum Spare 1
ABB SK 826 401 3 Pole Contactor EH 300 SK 829 002-A EH300 Lam Continuum Spare 2
Comet CV1C-500U/15 Variable Vacuum Capacitor 12-500pF 15kV/9kV Working Spare 6
Comet CV05C-500W/5 Variable Vacuum Capacitor 5-500pF 5kV/3kV Working Spare 9
iH1000 Edwards A590-30-945 Dry Vacuum Pump IH100-MK5 200 New Surplus 1
iGX600M Edwards A546-32-958 Dry Vacuum Pump Combination System New Surplus 1
AMAT Applied Materials 0200-02397 Producer SE Ceramic Bottom Liner New Unlisted 1
AMAT Applied Materials 0200-01427 Isolator Ceramic CVD Low K 300mm Producer New Unlisted 1
AMAT Applied Materials 0200-02396 Liner Ceramic Middle Producer SE New Unlisted 1
AMAT Applied Materials 0200-03403 Pumping Ring Ceramic Enlarged Hole Low K 300 New Unlsited 1
AMAT Applied Materials 0200-02408 Pumping Ring Ceramic C-Channel Side 2 300mm New Unlisted 1
AMAT Applied Materials 0200-02407 Pumping Ring Ceramic C-Channel Side 1 300mm New Unlisted 1
AMAT Applied Materials 0040-61317 Aluminum Oxide Coated Bell Jar 300mm Used Working Unlisted 1
Neslab 386104060208 Recirculating Chiller HX-75 COOLFLOW Tested Working Spare 1
Neslab HX-75 Recirculating Chiller - FOR USE IN TESTING 1
iQDP40 Edwards A400-91-905 Dry Vacuum Pump -12006 Hours Tested Working Spare 1
iQDP40 Edwards iQ Dry Pumping System Vacuum Pump -2122 Hrs. Tested Working Spare 1
上一页: 库存清单22  下一页: 库存清单20